Science topic

VLSI Design - Science topic

Explore the latest questions and answers in VLSI Design, and find VLSI Design experts.
Questions related to VLSI Design
  • asked a question related to VLSI Design
Question
2 answers
I really want to be an IC designer but I haven't found a course related to that. Should I purchase a special book or do something else.
Relevant answer
Answer
The standard route to becoming a designer of integrated circuits is to study electronic engineering, and find a course that includes semiconductor physics and semiconductor device and integrated circuit manufacturing manufacturing.
  • asked a question related to VLSI Design
Question
1 answer
Clock skew in VLSI design refers to the variation in arrival times of clock signals at different parts of a chip. This can lead to timing issues and affect overall circuit performance. Understanding its impact and employing effective mitigation strategies is crucial for robust VLSI designs.
Relevant answer
Answer
Dear S M Mohiuddin Khan Shiam,
You may want to review some helpful information presented below:
Clock skew refers to the variation in arrival times of clock signals across different parts of a Very Large Scale Integration (VLSI) circuit. It can significantly impact the performance and reliability of synchronous digital circuits. Here's how clock skew affects VLSI circuit performance and some mitigation techniques:
Impact of Clock Skew:
  1. Setup and Hold Time Violations: Clock skew can lead to setup time violations, where the data at a flip-flop is sampled before it has fully settled, or hold time violations, where the data is not stable for the entire clock period. These violations can result in incorrect data being captured by flip-flops.
  2. Increased Power Consumption: Clock skew can cause unnecessary transitions in the circuit, leading to increased power consumption. This is because flip-flops may toggle even when there is no valid data transition.
  3. Reduced Performance: Clock skew can limit the achievable clock frequency of the circuit. The difference in arrival times of clock signals can lead to an inefficient use of clock cycles, slowing down the overall performance of the circuit.
  4. Timing Uncertainty: Clock skew introduces timing uncertainty, making it challenging to accurately predict the behavior of the circuit. This can complicate the design and optimization process.
Mitigation Techniques:
  1. Global Clock Distribution: Using a global clock distribution network helps minimize clock skew by ensuring that all clock signals have similar arrival times across the chip. High-performance clock distribution networks, such as H-tree structures, can be employed to reduce skew.
  2. Clock Buffers and Balancing: Buffering the clock signals at appropriate locations in the clock tree can help balance the clock distribution and minimize skew. Clock buffers are strategically placed to equalize the arrival times of the clock signals at different points in the circuit.
  3. Clock Gating: Clock gating involves selectively disabling the clock signal to certain parts of the circuit when they are not in use. This can help reduce power consumption and mitigate the effects of clock skew in those specific regions.
  4. Local Clock Domain Management: Dividing the chip into smaller clock domains and managing them locally can help control clock skew. Each clock domain has its clock distribution network, minimizing the impact of global clock skew.
  5. Synchronous and Asynchronous Elements: Carefully choosing between synchronous and asynchronous elements in the design can help address timing issues. Asynchronous elements may be less susceptible to clock skew, but their integration requires additional considerations.
  6. Delay Matching Techniques: Employing delay matching techniques, such as inserting delay elements strategically, can help balance signal paths and minimize skew. This is often done during the physical design phase.
  7. Clock Synchronization Circuits: Adding clock synchronization circuits, such as delay-locked loops (DLLs) or phase-locked loops (PLLs), can be effective in minimizing clock skew. These circuits adjust the clock signals dynamically to achieve synchronization.
  8. Timing Analysis and Optimization Tools: Utilizing advanced timing analysis tools and optimization techniques during the design process helps identify and address potential issues related to clock skew. This involves detailed analysis of critical paths and clock domains.
By combining these mitigation techniques, VLSI designers can reduce the impact of clock skew and improve the overall performance, reliability, and power efficiency of their circuits. The choice of specific techniques depends on the design requirements, technology constraints, and the targeted application of the VLSI circuit.
  • asked a question related to VLSI Design
Question
9 answers
Hello Everyone, I want to perform division operation in Verilog - HDL. Please suggest me an algorithm for division in which the clock cycle taken by division operation is independent on input. That is for division of any number a (a can be any number) by b(b can be any number),same number of clock cycle wil be taken by division operation for different set of a and b.  
Relevant answer
Answer
Here is a useful link that I found, with the block diagrams and Verilog codes
  • asked a question related to VLSI Design
Question
4 answers
Recently domain-specific hardware accelerators are getting more popular. While designing them what should be the approach? If anyone can guide me here. As I am a bit confused about the implementation part.
Relevant answer
Answer
Thanks a lot. answers and feedback gave me confidence that I am working in the correct direction.
  • asked a question related to VLSI Design
Question
4 answers
How to calculate the power dissipation for different switching activity in sequential elements?
Relevant answer
Answer
Sandeep Kumar To calculate power dissipation in latches and flip-flops, first determine the switching activity of the circuit. This may be accomplished by counting the number of transitions (the number of times the signal changes state) during a certain time period.
Once the switching activity is known, the power dissipation may be estimated using the following formula:
Power dissipation = switching activity x capacitance x voltage2 x frequency
Where capacitance is the entire capacitance of the circuit, voltage is the supply voltage, and frequency is the switching frequency.
Furthermore, several CAD programs like as HSPICE, Pspice, and others may be used to simulate and calculate power dissipation for various switching activities in sequential elements.
It's also worth noting that power dissipation may be influenced by other factors such as leakage current, temperature, and process changes, therefore keep these in mind while studying power dissipation in a circuit.
  • asked a question related to VLSI Design
Question
3 answers
When we measure voltage across a isolated diode, what will the voltmeter read?
1) 0 V but voltmeter shows a non zero value which is approximately equal to built-in voltage.
2) Built in voltage but if it's the case then why can't we use diode as a voltage source?
PS : I was taught in my bachelors that we get a 0V reading across diode which is the algebraic sum of built in voltage and the voltage across metal(wire)-semiconductor interface.
Relevant answer
Answer
Yes, certanly 0V just from point of energy conservation. Of course, you will get some in illuminated diode or diode subjected to significant thermal gradient (thermocouple effect). When usual multimeters probes the diodes in the 'diode mode' it sources small constant current e.g. 0.5mA and that current forces some voltage drop across the diode.
  • asked a question related to VLSI Design
Question
4 answers
What is the major difference between the pre-layout and post-layout simulation?
Relevant answer
Answer
Generally the main difference is the difference in performance of the circuit. However it depends on the circuit you care about. In some cases parasitic resistance is much more important than cap i.e. the voltage drop across power line is dominant over degraded GBW because it may jeopardize the proper operation of the circuit. Also in some cases parasitics act for your favor i.e. current mirrors and the parasitic capacitance on the gates acts like decap.
  • asked a question related to VLSI Design
Question
4 answers
According to the number of Input and outputs ports of the FPGA chip we have, can we add (implement) any number of ethernet (100base) RJ45 and Fibre LC connector to the FPGA? What is the way to do that?
Also how can I also implement the following protocol into the FPGA; DNP3 , GOOSE and MODBUS?
which tools or libraries are using for these protocols on FPGA?
and what is best affordable cheap FPGA development board to buy with Ethernet Rj45 and Fibre LC connector SFP
Relevant answer
Answer
The paper in the link contains complete FPGA design and implementation on Xilinix VIRTIX 6 development board:
Deleted research item The research item mentioned here has been deleted
This paper introduces the field programmable gate array FPGA implementation of 1000BASE-X PHY Physical Layer for gigabit Ethernet over fiber optic cable. The implementation is achieved by developing VHDL model for all its building blocks including the physical coding sub layer, PCS, and the physical medium attachment, PMA. The VHDL code is simulated using XILINX ISE14.7 and synthesized on Xilinx Virtex6 FPGA chip. Measured results show that the designed and implemented Ethernet transceiver works successfully at 1.32 Gb/s, 2.5V supply with reduced power consumption.
There is even higher performance chips than Virtex 6 such as Verix 7.
  • asked a question related to VLSI Design
Question
3 answers
At schematic level design i need to add bitline capacitances in HSPICE Simulation. preferred model card is PTM.
Relevant answer
Answer
The bit line capacitance can be first estimated by making the lay out of memory cell array. It is determined by how many cells can be connected to this line which conveys the memory cell signal to the sense amplifier. The length of this line must be limited such that its charging must be sufficient to read the logic values of the memory by the sense amplifier without destructing them.
Best wishes
  • asked a question related to VLSI Design
Question
45 answers
I am plotting the graphs in MS EXCEL. But after seeing IEEE papers, I noticed that the figures are small with a better clarity. I am wondering if there is any other software that may be used for the same. I know there are many free softwares but which software do you prefer.
When I try to reduce my figure size(plotted in EXCEL), it looks distorted.
PS: I need to plot graphs with loads of data (related to MOSFET and TFET).
Any suggestion will be appreciated.
EDIT (10/10/2022): I have used ORIGIN for the recent papers and the results are quite satisfactory.
  • asked a question related to VLSI Design
Question
7 answers
Hello All, I am trying to design a simple NAND gate using shared diffusion. I designed the IC but it is not working as expected and I don't know why. If the diffusion regions are far apart then the circuit is working fine. But I want to share the diffusion for eliminating extra diffusion capacitance and to reduce the delay. Any help will be appreciated.
I am using C5N technology and I have attached my design as zip and the schematic.
Relevant answer
Answer
I see that your lay out design is logically okay where you have two pmos transistors in parallel and two n mos transistors in series.
As a matter of advice in case of logic design, one uses always the minimum area transistors. I do not think that you stick on the minimum area rule. The width or your diffusion wires are larger than 2 lambda.
In order to understand you you have to show us the two designs the working design and the nonworking design.
Best wishes
  • asked a question related to VLSI Design
Question
7 answers
I am a masters student and cannot access college amenities (HSPICE) now due to lockdown. I have to finish my minor project on CNTFET. Can you please suggest me a way to do that ASAP?
Thank you.
Relevant answer
Answer
The paper in the given link will guide you to develop the required model for the CNTFET and also to enhance its performance: Influence of gate overlap engineering on ambipolar and high frequency characteristics of tunnel-CNTFET
Best wishes
  • asked a question related to VLSI Design
Question
3 answers
It is evident that dynamic tspc flip flop is more power hungry than the its static counterpart, but is more fast. If the design is required for high speed application (clock freq in ~GHz), then which one is better.
Relevant answer
Answer
Sorry for an unscientific but pragmatic question ;-) :
Are these circuits only available for the design of chips, or is there a commercially available family of high-speed basic logic ICs (like ECL) providing these flipflops and compatible gates?
  • asked a question related to VLSI Design
Question
4 answers
VA A Gnd PULSE (0 vdd 2ns 100ps 100ps 5ns 10ns)
.
.
.
.measure tran delay_ar TRIG v(A) val='Supply*0.5' td=1ns rise=3
+ TARG v(out) val='Supply*0.5' fall=3
What's wrong?
Relevant answer
Answer
when you have a high propagation delay then trigger time will be after the target time, which is the result negative value.
To solve this problem:
1- use a higher period or lower frequency to avoid that.
2- or you have an error in your design.
  • asked a question related to VLSI Design
Question
5 answers
I don’t think that the textbook scaling equations can be used for fair comparisons for recent nodes.
Relevant answer
It is not wise to compare an opinion with a research pair. You do not need to add you But sentence!!!
  • asked a question related to VLSI Design
Question
3 answers
in FPGA or VLSI design, How can, identified short path problem and corrections.
Relevant answer
Answer
I think you mean solve rather than correct the short path problems. It is known that single source shortest path (SSSP) is a fundamental problem in graph theory. However, the existing SSSP implementations on field-programmable gate arrays (FPGAs) are incapable of processing large graphs by storing the graph and results in internal memories.
This problem is solved by parallel processing as in the paper at the link:
Deleted research item The research item mentioned here has been deleted
Best wishes
  • asked a question related to VLSI Design
Question
3 answers
I am an Mtech final year student. My interest research fields are semiconductor devices, VLSI Designs and FinFETs. Recently, I joined Intel Corporation as an structural design intern for my final year. For the past two months as an intern, I learnt and trained in Synopsys Fusion Compiler. I am just starting to join the one year intern and I have more to learn. My original goal is to do PhD in the above research field. My real intention to join this intern is to get the industrial knowledge on VLSI Design as Engineering research requires both theoretical and industial experience. So, my question is, will this intern be worth for my PhD? Will working in Synopsys help my knowledge on Semiconductor research?
Relevant answer
Answer
Computer aided design tools for the integrated circuits are required to tackle the design of complicated circuits. So, it is always requested from the integrated circuit designer to master some CAD design tools.
However mastering the tools does not mean that you are a professional designer.
In order to design electronic circuits you must be also capable to manually analyse and synthesize the electronic circuits.
The simplest example is that using the calculator for computation does not mean that you are good in math. Tools can not make every thing for you as they only can aid you.
Best wishes
  • asked a question related to VLSI Design
Question
3 answers
ASIC technology progressively decreases their standard voltage from 5 to 3.3 to 2.5 to 1.8V ...
However, at the same times, the analogue signals stay at a level of about 1V at the input of ADC, and even larger for some analogue applications. Moreover, keeping high linearity performances in amplifier, analog buffer and other analogue processing, is not realy compatible with low voltage power supply.
Foundries "sale" VLSI/ASIC CMOS and BiCMOS technology exhibiting a recommended power supply.
Have you some recommendations or examples of mixed-designs applications using low-voltage ASIC technology with higher voltage supply for part of the design. For example, a mixed ASIC made of 2.5V ASIC technology biasing under 5V one of the last stages of an amplifier design (while satisfying the maximum breakdown voltage recommendations Vds, Vgs, Vce ...)?
Relevant answer
Answer
Hi Damien, sorry, I didn't realize that your high voltage components should be part of the ASIC. I have no experience in this domain but am interested in the answer myself.
  • asked a question related to VLSI Design
Question
6 answers
Hi, Does anybody explain the use of multiple valued logic in cmos vlsi design?
Relevant answer
Answer
good question
  • asked a question related to VLSI Design
Question
4 answers
I am trying to simulate the deposition of Silicon over SiO2. In reality, when we try to deposit a crystalline Silicon over SiO2 (amorphous), we get rather a polycrystalline Silicon. In ATHENA though, when simulating the same process, the final deposited Silicon layer is not Polycrystalline Silicon but Crystalline silicon.
So, If someone can help me know how to capture this effect in Silicon and how to measure the quality of the deposited film in the Silvaco ATHENA TCAD tool, it would be really helpful.
If the proper simulation is not possible in ATHENA, please let me know which tool can capture these effects properly.
Relevant answer
Answer
I think your test can not bring a decisive judgement about the crystallinity of the structure. It is the dislocation distribution in the deposited material that can give the grain nature of the deposit. It is so that at the grain boundary you will find a high density of dislocations. In the grain bulk you will have less dislocations.
Also the distribution of the crystallographic orientation in the layer.
You can also judge the crystallographic structure by measuring the resistivity of the material. It is so that the resistivity of the polycrystalline si is much greater than the single crystal.
Best wishes
  • asked a question related to VLSI Design
Question
26 answers
A book or some set materials are not even close to enough for CMOS Layout design. But to start with, I require a good book and some relevant materials. I have done the layouts of some basic static CMOS circuits. Now it is the time to make the layout of the design I am working with (an architecture of ternary CAM with some control and gating circuitry).
Which books or materials I can refer for an optimised layout? I am going to use virtuoso layout suite for the design.     
Relevant answer
Answer
Old books but may be useful for learning
-VLSI Design Techniques for Analog and Digital Circuits by Geiger
- Principles of CMOS VLSI Design by Weste,Neil H. E.; Eshraghian,Kamran
Best wishes
  • asked a question related to VLSI Design
Question
4 answers
how to transform a parameter of one technology to another technology in VLSI design? if we know the value delay and power in 180 nm technology how to calculate power and delay in 90 nm manually?
Relevant answer
Answer
Dear Jyoti,
There are two methods to calculate the delay time and the power dissipated utilizing the scaling rules of the CMOS transistors.
The other method is to search for technology files of the every technology such as MOSIS technology files.
Best wishges
  • asked a question related to VLSI Design
Question
4 answers
Hi everyone, I don't under this layout figure (5.c) in pic attached .
This is a screenshot from research paper in this link:- https://ieeexplore.ieee.org/stamp/stamp.jsp?arnumber=7573442
My basic Curiosity is that layout are generally in shape of squares and rectangles, but in this layout what is that blot (ink drop) like thing in fig 5.c
Relevant answer
Answer
I second Samuel Pagliarini's answer above. In fact if you search in the manuscript there is no explicit citation to Fig. 5c, however when Fig. 5 is referenced it states:
" ... were assessed with a design synthesis flow on a multi-million gate industry test case (Fig. 5). "
So what we are seeing on the Fig. 5c is a printout of the said synthesis flow tool (possibly Cadence Encounter as Samuel Pagliarini mentioned) highlighting something. What is highlighted remains unclear, but we can assume it has to do with the RC effect estimation!
  • asked a question related to VLSI Design
Question
6 answers
TO GET MORE UNDERSTANDING ABOUT VLSI DESIGN
Relevant answer
Answer
Attached is the schematic of 2 input Dynamic XOR gate
  • asked a question related to VLSI Design
Question
4 answers
I am searching for a good topic in Dynamic RAM for my research practice/project in VLSI Design domain. I want to work on outer peripheral circuitry for low power applications (Not into device level i.e structure and composition ).
Relevant answer
Answer
Dear Hitesh,
welcome,
If you want to work with DRAM then the best way to get the state of the art and the challenges in advancing the DRAM is to read the review papers in the DRAM.
I think as a cmos technology device it will suffer with scaling down the transistors. So, i think you can work on scaled DRAM and its subsystem circuits as the sense amplifier and the decoder. In any case you will get experience in designing VLSI circuits.
You may look at the link to get more information:http://www.ijircce.com/upload/2015/september/106_A%20Literature.pdf
  • asked a question related to VLSI Design
Question
6 answers
Designing an analog only CMOS IC (RFIC sub 25GHz) with exact same function on various nano-meter technologies. Will it be just a difference in size and cost? Would it depend on the circuit function?
Similarly what will be the right MMIC process for MMIC sub 25 GHz, 0.5mm phemt or 0.15mm phemt? Will it be just a difference in size and cost? Would it depend on the circuit function?
Relevant answer
Answer
Reducing technology does not means that just reducing the size and cost. It completely change the parameters value. It also affects the supply voltage. So, power consumption also depends on the changing technology. Power consumption reduces by reducing the technology.
  • asked a question related to VLSI Design
Question
8 answers
This is a question without a single answer, since there are many variables and dependencies here which are impossible to pin down, and will change according to design parameters, technology generation/features, logic/circuit design methodologies and capabilities, and (very importantly) the design of the memory hierarchy, and the workload(s) under consideration. Even the basic metrics (power, and performance) are subject to much discussion: how should one trade off power vs performance to make a "fair" comparison? Nonetheless, it would be interesting to hear folks' opinions and analysis on this topic. To start things off, let's assume that you need to meet a certain ("relatively high") performance level, measured as performance per thread in a multi-core/multi-threaded microprocessor, but you are also subject to a per-thread power constraint (typical of today's high-performance processors). Obviously, the deeper the pipeline, the higher the operating frequency. Or, frequency can be traded off for power, by lowering the operating voltage. However, lowering the design FO4 and deepening the pipeline will impact the power-performance of the design in a variety of ways:
1) Number of flops in the design increases, driving up power, especially power used for clocking. Also, the delay overhead of the flop and any clock uncertainty takes a relatively larger bite out of the cycle time.
2) "Design difficulty" increases since logic has to be divided more finely to achieve the higher cycle time. Also increased timing/device modeling accuracy is called for. Tighter signal slews will be required, and number of repeaters/buffers will increase.
3) CPI will increase, since miss penalties (measured in numbers of cycles) will increase. Also, in light of #2, the design may be pushed towards a simpler microarchitecture.
4) There may be many other costs, depending on the design, ranging from SER impacts, power/current density issues, cache design issues, etc.
I'll start by suggesting that, given where most commercial designs seem to be landing, that 10FO4 is probably well below the ideal cycle time (despite considerable literature which might suggest otherwise). Also, something like 50FO4 is probably too high. Comments anyone?
Relevant answer
Answer
I know for sure my designs are not making use of all that the technology can provide. The limitation comes from IP that I use. Let me explain...
One important consideration is that the industry is driven by miniaturisation. For consumer electronics, what companies care about is # of chips per wafer. However, the most miniaturised cells do not necessarily present the best trade-off. It certainly is area optimal, but not necessarily delay optimal. This relates to cell height (in number of tracks) and cell width (presence of dummies, local layout effects, etc). My point is that the industry is not necessarily adjusting for optimal FO4, but it is giving area a higher priority because of business-related reasons.
Aparna, this discussion is way beyond simplistic logical effort or off-chip loads.
  • asked a question related to VLSI Design
Question
4 answers
sir ,
I am final year student of mtech vlsi design and looking for topic of thesis in front end .
please suggest some good topic .
Relevant answer
Answer
Dear Deepack,
you are welcome,
We designed many building blocks and subsystems of the base band processing of the LTE 4G mobile communication systems including:
- channel coding techniques like RS codes, convolutional codes, turbo codes and LDPC code. All are designed by VHDL and implemented on FPGAs,
- Modulation and demodulation techniques including OFDM
- video Source coding H264 including intraprediction, interprediction , transform coding, and entropy coding
- we designed, implemented complete LTE transceiver by VHDL on FPGA.
For more details you can refer to the contributions in my profile page.
Hope this helps
Best wishes
  • asked a question related to VLSI Design
Question
2 answers
Even though the accuracy matters, I would like to know whether this can be done for all designs?
Relevant answer
Answer
Tanner recently (end 2017) included in the Tanner 2016.2. Update8 new simulation analyses:
* RF OP analysis
* RF Steady-state HB (harmonic balance) analysis
* RF Steady-state HB (harmonic balance) AC analysis
* RF Steady-state HB (harmonic balance) Noise analysis
* RF Periodic Steady-state analysis (PSS) Analysis
* RF Periodic Steady-state analysis (PSS) AC Analysis
* RF Periodic Steady-state analysis (PSS) Noise Analysis
  • asked a question related to VLSI Design
Question
7 answers
In VLSI design software such as cadence how can we calculate the delay between two different outputs and delay between input and output?
Relevant answer
Answer
you have use calculator for it, there is function called delay present in it.
you have to send two signals between which u want to calculate delay to calculator.
so, summary is u have find function delay in the calculator, after that your smartness will get u result.
  • asked a question related to VLSI Design
Question
4 answers
Many work in VLSI area, it is mentioned about iso-area concept. What it meant by?
Thanks in advance
Relevant answer
Answer
Dear Sreekala and colleages
welcome,
The section ISO is added to some words with the meaning of equal. Here ISO- AREA means EQUAL AREA. This makes sense since if one compares two vlsi designs, one has to lay them out in the same area for fair performance comparison.
Best wishes
  • asked a question related to VLSI Design
Question
4 answers
What are the circuits that consumes high power  in both analog and digital systems .
Relevant answer
Answer
Generally, in digital circuits:
- Low impedance differential signals like those connecting ECL circuits.
- Switching the voltage of a large capacitance with high frequency, without regaining the stored energy. Example: Driving a MOSFET with an input capacitance of a few nF, using a push-pull stage, with a few 100 kHz or even some MHz.
In analog circuits:
- Class A amplifiers, due to the relatively high DC current even in the absence of a signal.
- Linear voltage regulators because power loss = (Vin - Vout) * Iout.
  • asked a question related to VLSI Design
Question
9 answers
I would like to have some knowledge sharing on power budget estimation at top level.
Basically as a block level pd engineer I have been given a power budget(say 200mW) and allowable drop threshold (say 6%). I have some rough idea on how do they arrive it, but how do they freeze those values early in the design flow for a block at top level? It would be helpful if you can share some knowledge on this.
Relevant answer
Answer
Hi Sabarinathan Natarajan,
Although it's an old post, but did you succeed to find something useful. Could you please share your knowledge. Thanks.
  • asked a question related to VLSI Design
Question
3 answers
body biasing techniques is used for low power cmos desgin 
how to calculate the maximum source to bulk voltage before latch up problem occurs .
Relevant answer
Answer
For low voltage circuits the foward bulk bias is used to reduce the VT by the CMOS body effect.
In genenal it is used only for ultra-low voltage operation. For example, if vdd is 0.5V  a bulk to source voltage from 0 to 0.5V is safe for latchup because it occurs only from 0.7V. The maximum bulk to source voltage can be defined also by the current leakage of the forward biased bulk-source junction.
  • asked a question related to VLSI Design
Question
5 answers
Actually, what happen in Indian Universities most of the institutes teach Semi Custom VLSI design (VHDL) for the name sake of VLSI design. They  don't have fabrication facility  even for demo. Due to which students have only theoretical knowledge which i think, insufficient for engineering UG or PG students
Relevant answer
Answer
Thanks to all for your Views 
I am agreeing to all of you ...
  • asked a question related to VLSI Design
Question
5 answers
Please suggest reputed journals for the same. Whether the result of Tanner V16 is accepted by the sci journals?
Relevant answer
Answer
Thank you very much all of you for your cooperation.
  • asked a question related to VLSI Design
Question
2 answers
IIL=Integrated injection logic
Relevant answer
Answer
HI, 
  • DTCL has the problem of current hogging, which can be understood by the following link-
  • whereas, in IIL logic family avoids the current hogging by using current injection which is more clearly explained in the following- 
  • asked a question related to VLSI Design
Question
3 answers
I need best partitioning algorithm which is present in the latest VLSI design tools. Which algorithm does it?
  • asked a question related to VLSI Design
Question
6 answers
I have seen in almost all processor, L2 cache associativity is some power of 2 (L1 is generally direct mapped  or some low power of 2). Although in Alpha 21164 super-scalar processor L2 cache (96KB) was 3-way set associative. Which doctrine had convinced the architect of alpha 21164 processor to not to have the associativity some power of 2 ? 
Relevant answer
Answer
Assuming a uniform distribution of addresses, evenly distributing references across cache banks requires dividing the address by the level of associativity.  This is much easier if that is a power of two.  Also, the cache banks usually fit into a more rectangular area when you have power of 2 associativity.  
Some processor designers have decided that a preferred cache size (3 banks, usually) is preferable to maintaining those properties. 
  • asked a question related to VLSI Design
Question
3 answers
How does absence of clock help lessen the effects of EM ? 
Relevant answer
Answer
In theory, asynchonous processors have lower EM emissions as not 'all and everything' has its transition at the same instant.
More practical, asynchronous processors have 'other problems', as at some point-in-time you need some synchronization of partial results.
  • asked a question related to VLSI Design
Question
4 answers
With referring to the topic below
  • If the test-bed I used is not a proper one to test driving capability of full adders, can the attached test bed provides the sufficient conditions? Or can I use a ripple carry adder test bed instead of that?
  • Last question: Can I use same input pattern for all of the A1, A2...An or B1,B2,...Bn  inputs in RCA test-bed?
Relevant answer
Answer
Dear Madam,
This is a gate-level issue. You can expect issues like this in deep-submicron technology. What you see in the diagram, is that the propagation through the ripple chain of each full adder, slows down the slew rate of the signal. This is typical in deep submicron, where only the inverters and to a limited extend, the NAND2 gates are able to keep the slew rate of the propagating signals. All other gates tend to lose slew rate.
This issue should be prevented in logic synthesis. Logic synthesis should be done such that, the minimum slew rate is respected. (this is a logic synthesis constraint.)
Obviously, here it went wrong !. You should not debug this in simulation, but in logic synthesis, and in running timing check tools. So, the question to you obviously is:
(1.) How did you run logic synthesis ?. (If at all, if you did not, please do.)
(2.) What timing check tool did you use ?.
(3.) What minimum slew rate did you specify ?.
Best Regards,
Henri.
  • asked a question related to VLSI Design
Question
10 answers
I have been trying since last few days to design a modified radix 4 booth multiplier. I want to implement compressors, so as to reduce the delay while adding the partial products. But, I am facing a great deal of difficulty in understanding the sign extension. If I use the conventional method of sign extension, hardware will become quite large and in turn will increase the delay of the system. I have tried looking up on google, but the method that has been described doesn't seem to work. Does anyone know a proven and an efficient scheme that will reduce the delay of the system and will work alongside the compressors and parallel prefix adder?
Relevant answer
Dearest Rayyan
hope this could help you
ali
  • asked a question related to VLSI Design
Question
3 answers
I am trying to see what is the easiest way to export the MLC positions from individual control points from a Pinnacle VMAT plan. Can this information be embedded in a Dicom RT file?
Relevant answer
Answer
Many thanks Orest.
  • asked a question related to VLSI Design
Question
1 answer
can anyone please give me some useful link where I can find a complete solved example of "2 bit common subexpression elimination in MCM" method-as proposed by mahesh mahendale in Synthesis of Multiplierless FIR Filters using minimum number of adders.
If possible, please provide me a way to solve this matrix using steps (as proposed by the above paper). 
Looking for kind help.
Dwaipayan.
Relevant answer
Answer
Use our online MCM solution available in: http://www.cdta.dz/products/mcm/
Good luck,
Kamel.
  • asked a question related to VLSI Design
Question
10 answers
How and why CMOS VLSI DESIGN  consumes less power and VLSI DESIGN consumes more power ?Scaling is possible both in cmos vlsi and vlsi than why we go for cmos vlsi ?what is the most importance difference and advantage in cmos vlsi with proper reason. Expalin?If low power why and how?
Is there something that we can do in cmos vlsi that we cant do in simple Vlsi design?Is there any differences in scaling properties of both?
If we tell die area reduction that we can also do in vlsi because scaling is possible.
nmos and pmos together gives low power
but if we put anyone it consumes more power why and how?
Relevant answer
Answer
There are two types of power dissipation in CMOS circuits.
One is Static power dissipation (DC power) which occurs when transistors are operating in quiescent mode. This is due to reduction in transistor's channel length, higher doping level (increases dynamic power dissipation too), reduced gate oxide thickness (tunnelling) and reverse biased junction leakage.
In static condition a transistor is either ON or OFF as they are not switching from one state to another. Thus, V or I = 0 in these two states, hence net power dissipated = 0. But, practically there is a leakage current between source and drain even if the transistors are OFF. This current is called sub-threshold current and is significant with process technology scaling. MTCMOSs are used to reduce leakage current. 
Pstatic = VDD*Ileak.
To reduce static power dissipation, reduce VDD and the number of transistors involved.
Dynamic power dissipation occurs when transistors are switching from ON to OFF or vice-versa. The wire used to connect one output to another input acts as load capacitors and requires to be charged and discharged when the transistors are switching. The capacitors dissipate power and with billions of transistors on board operating in GHz, dissipates huge amount of AC power. And higher the switching, more the heat produced. Also, short circuit power dissipation in intermediate states both PMOS and NMOS transistors find paths to ground causes power dissipation due to short circuit current. Another form of dynamic power dissipation is crowbar power.
Pdynamic = CVDD2 f
By reducing parasitic and load capacitance one can reduce power consumption at high frequencies in addition to low VDD.
1. Obviously, basic VLSI design will consume more power as it doesn't use CMOS technology.
2. Scaling is possible both in cmos vlsi and vlsi than why we go for cmos vlsi : https://en.wikipedia.org/wiki/CMOS. Excerpt: "Two important characteristics of CMOS devices are high noise immunity and low static power consumption."
3. What is the most importance difference and advantage in cmos vlsi with proper reason. Explain?If low power why and how?: "Since one transistor of the pair is always off (CMOS), the series combination draws significant power only momentarily during switching between on and off states. Consequently, CMOS devices do not produce as much waste heat as other forms of logic, for example transistor–transistor logic (TTL) or NMOS logic, which normally have some standing current even when not changing state. CMOS also allows a high density of logic functions on a chip. It was primarily for this reason that CMOS became the most used technology to be implemented in VLSI chips. CMOS circuitry dissipates less power than logic families with resistive loads. Since this advantage has increased and grown more important, CMOS processes and variants have come to dominate, thus the vast majority of modern integrated circuit manufacturing is on CMOS processes. As of 2010, CPUs with the best performance per watt each year have been CMOS static logic since 1976. Commercial CMOS products are integrated circuits composed of up to billions of tansistors of both types, on a rectangular piece of silicon of between 10 and 400 mm2.CMOS logic dissipates less power than NMOS logic circuits because CMOS dissipates power only when switching ("dynamic power"). NMOS logic dissipates power whenever the transistor is on, because there is a current path from Vdd to Vss through the load resistor and the n-type network. Static CMOS gates are very power efficient because they dissipate nearly zero power when idle." - source - wikipedia.
4. Is there something that we can do in cmos vlsi that we cant do in simple Vlsi design?Is there any differences in scaling properties of both?: https://en.wikipedia.org/wiki/MOSFET#MOSFET_scaling
5. Nmos and Pmos together gives low power, but if we put anyone it consumes more power why and how?: "The first case is CMOS which has very low static power dissipation and higher dynamic power dissipation but NMOS, TTL logic has high static power dissipation than dynamic power dissipation.  Latching memory addresses can help reduce power consumption."
TO SUMMARIZE, CMOS CIRCUITS CONSUME LESS POWER COMPARED TO NMOS AND TTL LOGIC!
  • asked a question related to VLSI Design
Question
6 answers
can anyone let me know about clock gating technique used in low power cmos vlsi design?
Relevant answer
Answer
Thanks a lot!! jagadeesh.
  • asked a question related to VLSI Design
Question
5 answers
Hello Everyone,
In the circuit (see attachment), when at the gate of the NMOS, 0 volt is given, in this condition the NMOS should be in OFF state and no current shold flow through NMOS then why i am getting the High output at the source terminal.
Note : Net 6 is the input at gate terminal and net 4 at drain terminal.
Relevant answer
Answer
This is electronics.
In electronics we have electrons; which flow in circuits.
This is the clue, for a circuit to function there has to be a closed circle for the electrons to flow in.
E.g. Battery, light blub, and switch; series connected with wires. This has two states:
1. Switch is open = no circuit.
2. Switch is closed = circuit = current flows = light bulb glows.
In Spice, given the use of "correct" models it will still show signals derived from very small effects given the right stimulus; as you are seeing.
  • asked a question related to VLSI Design
Question
4 answers
VHDl code for UART is somewhat lengthy using state machine ,so i am thinking to implement it without state machine .is it best??
Relevant answer
Answer
State diagrams have always been the easiest way to implement all sequential problems and so is the one.
  • asked a question related to VLSI Design
Question
2 answers
We visualize, quantify and optimize the facilities layout (see http://www.amia-systems.com)
Our next challenge will be to position, physically, items in complex graph with valued edges.
We made some research and didn't find relevant publications concerning this topic. 
Could you refer us to some publications?
I am at your disposal if you need further information,
Abdelkrim
Relevant answer
Answer
C.P. Thank you !!!!
I'll search for the "VLSI Physical Design" keywords.
Kind regards,
Abdelkrim
  • asked a question related to VLSI Design
Question
10 answers
HI,
Good Day to all...
In digital design, i want to insert control logic or lock mechanism at internal nets. As far as i know, inserting control logic or lock mechanism at High fan-out nets is the best solution in which less no. of locks will have controllability on more no. of internal nodes.
I want to know is there any other net (means any other digital parameter to decide in which net i can insert) if i insert will impact more no. of logic, especially my concern is to increase controllability of output ports. But, fan-in will not help me for this purpose i hope...
Thank you in advance....
Regards,
SUMATHI G.
Relevant answer
Answer
In my view, capacitance and resistance parameter of the net also play major role in digital design. So, you may think about RC value in you design
  • asked a question related to VLSI Design
Question
1 answer
Hi all,
I would like to know if someone know the data from a white paper/report/research paper about
-> SoftErrors: Failure in Time (FIT) rate (neutron/meoun/proton etc.) for some Flip-Flops which are based on FinFET and/or FDSOI technologies. As there are few papers available on SRAM FinFET FIT rate. Furthermore, there are few papers on CMOS FIT rate for flip flops are also available.
Thanks.
Relevant answer
Answer
Hi,
I don't have direct access to any white papers on flop SEU and FIT rates but I can say that in typical designs, the FIT rate of sequential logic, at least in terms of retention cross-section (without accounting for logic or timing derating - if you want to include this, and assuming a flop has the same fail rate as SRAM, derating will reduced the actual flop error rate to 5-10% of the measured retention value in most logic designs), is about the same as that of the SRAM - in other words if you put and array of flops in a neutron beam/alpha source and an SRAM array with the same number of elements as the flop array, the failure rate will be similar. It is true that flops with higher drive transistors (wider gates) can have a reduction in failure rate but we usually see all flops from a technology library from the weakest to the strongest are about 2x higher than SRAM (in the same technology) to about 15x lower failure rate, In a FIINFET technology I would expect the same trend to be followed since the sensitive element in the SRAM and the flop is the same or similar FinFET transistor.
Sorry if this is to general for you needs, but if you are trying to design experiments, the rule of thumb above has worked for many generations of bulk and SOI devices and I assume will work for FinFET as well.  
One note, I am assuming you are referring to standard flops and not DICE or some other redundant flops which, of course, would have a much, much lower failure rate.  
  • asked a question related to VLSI Design
Question
4 answers
In Signal flow graph based VLSI design research paper, the term cut set retiming present. What it mean the technical term “cut set retiming” in VLSI Design?
Relevant answer
Answer
Thanks Aswinkumar...
  • asked a question related to VLSI Design
Question
3 answers
plz send me any paper aboute VLSI Design in Wireless Sensor Network
Relevant answer
Answer
Then you can search keywords to get research articles. You'll get desired results.
  • asked a question related to VLSI Design
Question
3 answers
I want to measure the frequency of ring oscillator circuit I inserted in my design. I made circuit schematic using xilinx ISE. During behavioral simulation, my circuit is switching its output, but I could not see its waveform. Hence I could not measure its delay i.e. frequency.
When I select the mode of simulation as post-route, it shows my design is not yet instantiated (question mark near design), when I try to instantiate, its not doing so. Finally I could not measure ring oscillator frequency.
Relevant answer
Answer
The following page might be helpful
  • asked a question related to VLSI Design
Question
4 answers
In low power VLSI design clock gating technique will reduce power all time or it depends upon the input date? Is any chance, the computation power may increase?
Relevant answer
Answer
Shivalal,
Why do you write in point 2 that clock gating may require variable voltage sources? I don't think that this is the case.
I agree on the other three points, but if clock gating is properly used the benefits will be much greater than the drawbacks.
  • asked a question related to VLSI Design
Question
22 answers
Please explain the difference between body effect and body bias.
Relevant answer
Answer
Body effect refers to the change in the transistor threshold voltage (VT) resulting from a voltage difference between the transistor source and body.Because the voltage difference between the source and body affects the VT, the body can be thought of as a second gate that helps determine how the transistor turns on and off.
Body bias involves connecting the transistor bodies to a bias network in the circuit layout rather than to power or ground.The body bias can be supplied from an external (off-chip) source or an internal (on-chip) source.
  • asked a question related to VLSI Design
Question
4 answers
Hello
I have few quires about modelling NBTI Trapping/Detrapping Model Based on Predictive Technology Model (PTMs) firstly for CMOS and then FinFETs for smaller tech. nodes such as 16nm/22nm/32nm/45nm.
Q1: What material PTM (CMOS and FinFETs) models used for Gate-Oxide (e.g. Aluminium oxide Al₂O₃ or Hafnium oxide HfO₂ etc...)?
Q2: Based on above material, what is the typical trap density (i.e. number of traps/cm^2) for 16nm/22nm/32nm/45nm PTMs?
Q3: What is the typical Activation Energy (Ea) value for trapped charge emission? and what is its' range?
Thank you.
Usman
Relevant answer
Answer
Dear Usman,
This question attracted me to carefully read it. I think the best people who can answer your question are the founder of the Predictive Technology models.
However interface state description and modelling is not a new topic. It started intensively with the advent the MOS and the MIS devices and transistors. The best studies made on the interface state and their effect on the material and device performance were made with the start of the MOS technology. The field effect could  be demonstrated first when the interface states between the silicon and silicon dioxide  are technologically controlled. The story of the interface states and their understanding and control is an appreciable part of the success story of the surface field effect devices.
So, to predict the scaling effect on the interface state in the field effect devices you have to follow the performance of the MOS and MIS capacitor and the their control on the FET transistor among the different MOS generations. Prediction is an extrapolation process based on the tendency of performance with time and the basic physical laws. So, one can discover the properties of the next node before it is realized in experiment.
 The interface states themselves are due to unsaturated dangling bonds at the surface of the material.
They can act as traps of a type of charge and also they can act a scattering centers impeding the motion of mobile charges and also they can act as recombination centers for the minority carriers.
Interface states are reduced by a process called passivization such that their effect is has little or acceptable effect on the device performance.
Yes this is not a direct answer on your question but may be helpful to consider some concepts and principles relating to it.
wish you success.
  • asked a question related to VLSI Design
Question
1 answer
VLSI Design
Relevant answer
Answer
U need to to design property to virtex or spartan then generate the ip core with or without pipelining. First i recommend to use without pipelining configure the control signal. go to tools on xilinx to view ip crores
  • asked a question related to VLSI Design
Question
6 answers
I have implemented 4-bit adders (Ripple carry and carry look ahead adder) on VHDL (Xilinx ISE design suit). How can I measure speed and power of both the designs for comparison?
Relevant answer
Answer
HAI, First you have to synthesis your design using ISE. After synthesis you will get an estimate of combinational delay / clock frequency of the design.  this result you will get in synthesis report.
After place and rout will get the exact amount of delay in the circuit
  • asked a question related to VLSI Design
Question
5 answers
How to take Dynamic power and switching power report using design complier or prime time synopsys tool?
Relevant answer
Answer
The question is a bit confusing. PrimeTime is a timing analysis tool and will not report power.  I suppose you want to influence the power estimation carried out by Design Compiler by specifying the switching activity at the inputs. Please check the manual of design compiler on how you might be able to do this.  
The report statements provided in the other answer have nothing to do with power estimation.
  • asked a question related to VLSI Design
Question
5 answers
 Respected  all.
Please  explain me little bit  about, " Green transistor"?
Relevant answer
Answer
do you mean Chenming Hu's "gFET" (circa 2008)?
  • asked a question related to VLSI Design
Question
4 answers
Is there any simulation tool available to check that the proposed IC design would work in all kind of environments, including space? I want to check the effect of radiation on the chips that we use in space satellites.
Relevant answer
Answer
There is a free Silvaco webinar ¨Simulating Total Dose, Prompt Dose, Damaging Fluence and SEU using TCAD¨  on 17th February.
  • asked a question related to VLSI Design
Question
16 answers
Hi all,
I am new to monte carlo analysis features and I am unable to interpret results from that simulation in cadence. I have designed band-gap current reference and I want to know how the resultant current varies with process and mismatch. Can anyone tell me why I am not getting Gaussian distribution curve for the resulted current (figure is attached in pdf for 2 different cases)? These are the procedure I followed:
Set-up: I opened Montecarlo → selected, sampling method to Random --> Number of points to 500 → save process and mismatch data → run nominal simulation → all instances are selected in specify instances - > ok.
Case 1: Temperature is not varied, only DC operating save option is selected in analysis for MC. It is shown in bottom figure in pdf, where 497 samples are concentrated at only one point. Its mean is showing to be 1.18uA where 'nom' is designed for the current of 1.050uA at 27 Deg C. Why Gaussian plot isn't appearing?
Case 2: Temperature range is selected from -10 to 100 Deg C in analysis option in ADE for the MC run. Its mean is showing as 1.1uA (top figure in pdf). Here 499 samples are concentrated at one point. Here again why Gaussian response isn't appearing and different samples are taken at which temperature (as I varied temp from -10 to 100Deg C)?
Relevant answer
Answer
Hi, the problem is, as you can see in your figures, there are some outliers. So the bin with is too large and all your correct samples are summed together in the first bin. I suggest to check the cases, where the current is above 20uA. You can do that by looking up the point number in the "detail" view on the results tab in adexl. Than just simulate this point again. You can do that by setting the "starting run number" in the monte carlo options.
  • asked a question related to VLSI Design
Question
31 answers
For the preparation of manuscript I need a good software for drawing some circuits and graphs. The graphs I have created using ORIGINPRO. Mainly I am going to use some basic shapes, MOSFETs, Logic gates and texts in the circuit drawing. What is the best software for drawing these circuits which produces high resolution images in all formats with clear edges. 
Relevant answer
Answer
  • asked a question related to VLSI Design
Question
3 answers
I'm trying to implement a current starved VCO in both 50n and 1u technology (using LTSpice).
It works for 50n but not 1u.
Is there anything I'm leaving out?
Relevant answer
Answer
In addition to the comprehensive answer by Abdelhalim Zekry above, I would like to add that the power supplies may not be at the values recommended by the ITRS. For instance, are you using the same biasing voltages or different?
  • asked a question related to VLSI Design
Question
6 answers
Dear all,
This is a very general question regarding planar CMOS Bulk production. As we all know that due to lots of problems in terms of Reliable Design in the presence of Power, Speed for CMOS bulk. I was wondering if there is any company still doing production of ICs with planar bulk CMOS below let say 32nm?
Usman
Relevant answer
Answer
With my recent search, I find out that Apple A8 is 20 nm CMOS bulk IC manufactured by TSMC.
I hope if someone can find even smaller node.
  • asked a question related to VLSI Design
Question
9 answers
Due to the design complexity and integration density of the device components, interconnect centric layout design has become an inevitable part to the physical design engineers. Increase in integration density as well as faster switching induces more power consumption i.e. power density resulting need for faster heat dissipation. Generation of heat increases temperature affecting reliability of the chip as an effect of electromigration. In what other ways the chip design gets affected in this scenario due to thermal effects?
Relevant answer
Answer
Subthrehold current increases,Electrostatic discharge  takes place due to increase in temperature. As resistance increases with temp so RC delay of the ckt also increases.
  • asked a question related to VLSI Design
Question
5 answers
According to them, only area and speed are the two crucial factors while evaluating performance of any such circuits. Why so? Though I understand the importance of speed of operation and area  for any digital circuits, should the word "PERFORMANCE" only depicts speed and area information?
Relevant answer
Answer
Yes, I think you're right. It all depends on what you want to prioritize
  • asked a question related to VLSI Design
Question
7 answers
We would like to fabricate an IC which includes various students' research works in TSMC90nm Technology. I have two option, 1)MOSIS 2)IMEC, Which choice would be preferred?
What will be the approximate cost?
Relevant answer
Answer
Dear Dr. S. Sivanantham,
Cost depends on redesign the whole thing in terms of the structure of the IC itself, what layers you need, how they're interconnected in 2-D and 3-D, chip area, manufacturing process used (and the feature sizes), The number of package pins adds another fixed cost per chip. More than standard that tends to reduce yields driving up the cost of an individual functional chip. Also, quite high cost of producing a chip is part of what has driven the market for FPGA. 
  • asked a question related to VLSI Design
Question
6 answers
There are many research papers on process variations  and aging affects on CMOS and FinFETs. However, I would like to have an opinion on this forum about what are the significant process parameters which can affect the circuit reliability? In my opinion: Fill in the blanks with your valuable comments:
For CMOS Process Parameters: TOXE, Leff, Weff and ___,___,___?
For FinFETs Process Parameters: TOXE, Lg, HFIN, TFIN, PHIG and ___,____,_____?
If the above are wrong in your opinion please comment on them as well.
Thank you.
Relevant answer
Answer
For CMOS devices, effects such as Random Dopant Fluctuation (RDF)  which impact on threshold voltage are of interest. Due to the uncorrelated nature of such effects devices may age different.  
For CMOS devices there is other random mechanism called Random Charge Fluctuation that  is related to the formation of a random number of trapped charges. These fluctuations increase as a function of stress time. 
  • asked a question related to VLSI Design
Question
1 answer
I have done sensitivity analysis using ADEL in Cadence virtuoso for my design for ac and dc base. I have attached some part of the result of sensitivity analysis for ac base .What does this result show?
Relevant answer
Answer
I don't see any results in the attachment, only the description for sensitivity analysis. You should come up with with a matrix in which there are blue (directly proportional dependence) and red (inversely proportional dependence) lines. The lines that are denser and narrower should indicate your optimization variables.
  • asked a question related to VLSI Design
Question
3 answers
Whilst doing RCX an error occured, (please see attached). Can anyone help with this?
Relevant answer
Answer
Which foundry you have used? Is it gpdk45 nm?
  • asked a question related to VLSI Design
Question
20 answers
Using the Calculator in Visualization and Analysis in Cadence Virtuoso Avg. Power, Static Power, Peak power and Energy Can be calculated. I am unable to calculate the correct dynamic power. What are the process I need to follow prior to run the simulation and after it? 
Relevant answer
Answer
Furkan, just a comment about what you said: when simulating with zero activity factor, do not forget to simulate each state / static condition (for example, low and high output conditions). Each state will typically have a, associated probability factor. The average static power will be the weighted value from all observations, taking into account the probabilities.
You can also refer as static power consumption associated to each state. 
  • asked a question related to VLSI Design
Question
8 answers
I am learning basic designs using Cadence Virtuoso. I have Learned up to Schematic, Layout Design, Parasitic extraction of Combinational Circuits. The Build in Libraries present are the technology libraries gpdk(180/90/45), analoglib, samples etc.
I have used Schematic-driven layout. What extra libraries I need for TAPEOUT?
Relevant answer
Answer
I hope it is sufficient enough. However, please remember that the gpdk library is provided by the Cadence to understand the design flow using cadence tools. So, please get the foundry design kit from foundries like UMC, TSMC etc.
  • asked a question related to VLSI Design
Question
20 answers
Is it possible to determine all the leakage currents (from all sources) in digital subsystems at logic level? If the answer is no, then what about circuit level? list out the possible tools to work on power optimization at circuit level? 
Relevant answer
Answer
H-SPICE would be another useful tool for that.
  • asked a question related to VLSI Design
Question
3 answers
Can anyone help me to write testbench with varying input probability for unsigned multiplier design, which include .tcf file generation for power calculation
Relevant answer
Answer
Whatever probability you want on input, write MATLAB code with those probabilities and generate text file. (I am sure like CPR what you mean by varying input probability). Use this as an input signal file.
  • asked a question related to VLSI Design
Question
5 answers
Normally we will perform both STA and DTA at GLN level. However, in general, STA is preferred in the back-end process. Is it necessary to do DTA again after completing the routing process.
Relevant answer
Answer
Personally i would not perform DTA on P&R netlist if gate level netlist is already verified. STA is enough for evaluating the timing performance. But there is one thing i want to note. Todays functional verification concept is quite different compared to past decade. We are talking about UVM, OVM, VMM. I would recommend you to review your functional verification procedures in terms of those new concepts.
  • asked a question related to VLSI Design
Question
11 answers
I am using SPARTRAN XC3S50 PQG208EGQ1117 D4238638A 4C FPGA for synthesis and simulation process. Where will the speed of the circuit be shown?
Relevant answer
Answer
One more thing
Timing report after synthesis is not very accurate.
It would be much better to take timing results after place and route. these values tend to be more realistic, as it is estimated after implementing the design routing for the target FPGA.
Good luck
  • asked a question related to VLSI Design
Question
7 answers
Optoelectronics-VLSI technology is inherently a multi-disciplinary field. The building of systems require the gathering of experts such as optical hardware designers, opto-mechanics engineers, semiconductor (optoelectronic) manufacturers, system architects, computer scientists, VLSI circuit designers in the digital and analogue domains, specialists in hybrid integration, assembly engineers, packaging and testing engineers. Research groups tend consequently to be very large and to have sufficient resources for the fabrication of prototypes.
Do you know of any software and tools? Do you have any suggestions?
 
Relevant answer
Answer
Dear sir,
Myself a researcher working in the area of photonic multicore systems. During my research i have come across both photonic device simulation tools as well as photonic multicore simulators. Some of the tools and resources are as follows:
1. For photonic devices,
a) proprietary tools are: Lumerical FDTD, DEVICE, INTERCONNECT and MODE. Some others are Sentaurus TCAD, SILVACO, PHOENIX Software, Synopsys RSOFT.
b) free tools (not completely opensource): University of Ghent's IPKISS tool suite and CAPHE circuit simulator. There is another tool by university of taiwan called WTU-DDC. It is a MATLAB based 2D - 3D optoelectronic Device simulator.
c) opensource tools: MEEP by MIT. For Photonic Crystals they have a tool called MPB. THese two tools run only in linux (Ubuntu).
 
2. For photonic SoC and multicore:
a) Proprietary tools: Synopsys RSOFT. Cadence has recently included support for Photonic SoC.
b) Opensource tools: GRAPHITESim by MIT (Runs only in 64 bit Ubuntu 12.04).
 
I hope the above helps.
Thanks and Regards,
Soumyajit Poddar
  • asked a question related to VLSI Design
Question
7 answers
I want to dump my project code to FPGA board. Please can anyone provide me the input pin numbers to Xilinx SPARTRAN XC3S50 PQG208EGQ1117 D4238638A 4C?
Relevant answer
Answer
After performing the synthesis, a detailed report of the synthesis will be generated, just just scroll down the report, you can see the maximum clock frequency supported by the your system.
  • asked a question related to VLSI Design
Question
19 answers
I would like to know of the freeware/tools for learning VLSI design. Cadence/Mentor Graphics are the best preferred ones usually but they are licensed and quite costly too. So are there any similar tools which would carry out the same functionalities and in similar environments for analog/digital design? Suggestions appreciated.
Regards,
Raghu
Relevant answer
Thank you so much Jithin, Jojre and Ziesemer. And am Very Sorry for my late response.
  • asked a question related to VLSI Design
Question
7 answers
I have a Verilog-A code snippet for MOSFET, but I do not know where (any good free Verilog-A Simulators) and how to simulate the code to get the VI chara of the device. Could someone please explain the steps?
Relevant answer
Answer
You are likely going to have difficulty. All major commercial simulators support Verilog-A, and the compilers are quite good. If at all possible you should go that route. NGSPICE includes the compiler ADMS, but the latter has been unsupported for quite a while, handles only a subset of Verilog-A, and is not simple to use. If you would be able to get your code running at all I believe it would take a significant effort. By far the easiest path is to use a commercial simulator.
  • asked a question related to VLSI Design
Question
10 answers
This is the first time I am doing IIP3 kind of analysis that too with PSS and PAC for a LNA. I have got few doubts and issues with the simulation.
1> In PSS, what does periodic steady state refers to? I read Ken Kundert's document but didn't understand completely.
In PSS, whether simulator only computes DC operating point and its corresponding responses first at each point throughout the time in a given period? For example: If input is large sinusoidal, then whether PSS computes DC op point and its corresponding responses at each time instance of that signal over a period?
2> After PSS and PAC run, under direct form plot, what does Single point Input Power Value refers to? Is this the power value of second tone or the first fundamental tone?
3> As I change this Single point Input Power Value from -50dBm to -20dBm, IIP3 values are also getting changed respectively. Why IIP3 values changes with input power and at which input power, IIP3 values have to be measured?
Relevant answer
Answer
The Periodic Steady State is a large signal calculation. This means that non-linear behaviour is part of the math. The DC simulation calculates the bias point (e.g. gm of a transistor) and then the AC simulates with the values calculated in DC (this is a linearisation of the circuit).
When a large signal is applied the bias point change with the time, hence a simple DC is not enough. For example imagine a MOS transistor with a large signal applied on top of a bias voltage on the gate, the Vgs varies with time and until the voltage explore the linear zone (saturation) of the MOS, a DC+AC is ok. Now if the signal is large enough for a certain time the MOS will be off and for the other time ON. This means that the gm is not constant and then a PSS is needed.
Now for your questions:
1. The DC is not calculated for each time but the circuit is resolved in time domain shooting simulation) like for a step response. Of course there are two limitation: the signal should be periodic and linear as explained in spectreRFTheory document.
2.Typically for IIP3 simulation the power of the PSS tone and the power of the PAC tone vary together, so you should put a variable and then sweep in PSS domain. With direct plot you should select variable instead of spectrum and then plot the 1st and 3rd harmonic from IPN curves tab.
3.The IIP3 should not change with the input power. Check if you setting correctly the simulation. Or let me know if you need some explanation on that.
BR,
Ivan
  • asked a question related to VLSI Design
Question
14 answers
What are the reasons for differences in clock signal arrival times across the chip (clock skew) apart from gate delays (gates driving the clock) and wire delays?
Relevant answer
Answer
Examining a model that includes T-line and analog gate conditions, problems stand out. There are temperature dependent parasitic RLC lead lag networks, variation between gates as previously mentioned, and the signal paths are also capacitivly coupling signal to ground. Of these I believe the last has the most noticeable effect. By filtering away high frequency portions of the signal the edge is rounded increasing its rise time in addition to the simple wire propagation.delays.
Another interesting suspect that Mr. Navarro-Botello already briefly mentioned is capacitive and inductive coupling between signal paths. If the coupled signals are in the same direction high capacitance or low inductance will cause SUT to skew fast, opposite case holds as well.
  • asked a question related to VLSI Design
Question
4 answers
If a memory was earlier designed using 22nm technology node and now it is designed using 45nm. What will be the change in its operating frequency?
Relevant answer
Answer
Operating frequency inversely proportional to channel length.
  • asked a question related to VLSI Design
Question
7 answers
I am unable to find much about this, can anybody give me some references as well?
Relevant answer
Answer
With scaling of the technology, survey show that leakage current is nearly equal to that of the dynamic . Hence the leakage power is of concern with the scaling of transistors. Clocking or clock distribution is another factor. As said by other researchers, a survey of papers will give right inputs.
  • asked a question related to VLSI Design
Question
8 answers
I want to use synopsys/cadence tools such as synopsys design compiler, synopsys prime time, cadence SoC encounter for place and route and synopsys tetramax ATPG. Are any of the mentioned tools freely downloadable?
Relevant answer
Answer
I do not think it is possible to download them for free. But many be you can interact with the application engineer and get some help from them.
  • asked a question related to VLSI Design
Question
2 answers
Since few years the work on Fully Depleted SOI (FDSOI) device technology have been interestingly increased due to few Semiconductor Companies believed to have a reliable and cost-effective production with FDSOI in compare with FinFETs/bulk-CMOS (e.g. ST-Mircoelectronics co. in conjunction with CMP is already in production phase of FDSOI tech.).
1. So, if anyone could suggest the freely available predictive technology model (PTM) and/or Product Design Kit (PDK) either charge or surface potential based ; will be highly appreciable.
2. Is it even possible to have "charge-based" SOI/PD-SOI/FD-SOI technology models. Or all the companies are using "surface potential - based" such as PSP based models.
Thanks.
Relevant answer
Answer
Hi laurent, Thanks for your reply.
However, PTM(s) by Arizona state univ. with above links only provides MOSFETs and FinFETs but not FDSOI PTM/PDK. Anyways, I have done some research on finding FDSOI PTMs and would like to share here which probably be beneficial for others as well who are looking for it.
There are three PTMs available for FDSOI:
1) BSIM-IMG (University of California at Berkeley):
Comments:
a). Believed to have FDSOI PTM models based on BSIM group latest publications.
b). Not sure if it is Charge based or Surface-potential based
2) HiSIM (Hiroshima University)
Comments:
a) FDSOI and is PSP based model
3) PSP-SOI (Arizona State University)
Comments:
a) FDSOI and is PSP based model
--
Usman
  • asked a question related to VLSI Design
Question
2 answers
Fixed and floating point date type synthesizable HDL code what are the diff ways/tricks/new& diff ideas that a fixed or floating point algorithm can be implemented in FPGA using Verilog and the same for VHDL
For the betterment of student and professionals:SInce this info is not fully/widely available in book only engineers who were working in FPGA embedded system application development knows better ways and possibilities with current technical advancements.
Relevant answer
Answer
i give some ans, others can suggest their innovative ways and easy ways
1. Verilog real data type is only for simulation hence convert all into a BIGGG sized integer which hold the full value number with enough value precision ,as integer data type is synthesizble. (actually look for the lowest value , find how much 10's should be multiplied to make it integer , multiply this number to all the variables and constant in the algo)
2.VHDl offers fixed and float point package which is synthesizable , we use that for algo in VHDL , i think this is extendable for verilog also
3.if u know C u can write in C verify functionality in any digital tool or matlab and use xilinx HLS to make a HDL conversion that is synthesizable.
4.algo can be written straight forwardy as a set of equations in MATLAB , after verification use ,HDL tool ,Fixed point tool , simulink HDL coder to generate , HDLsome more ways ,
will discuss later , hope to receive new ways/ideas and possibilities from other company tools to do the above
  • asked a question related to VLSI Design
Question
1 answer
I would like to know the available commercial tools for substrate noise analysis in the field of mixed signal design and whether they are efficient or not. Is it possible to use it for Smart power ICs (high voltage and low voltage circuits integrated on the same substrate)?
Relevant answer
Answer
CSE adds substrate extension to the Totem product. It is the only commercially available solution for modeling and simulating substrate-based noise coupling at the full-chip level. It includes extraction of the substrate network for advanced process technologies and provides an integrated and concurrent time-domain simulation of the substrate network along with power/ground mesh and package parasitics.
you can see this one for commercial purpose...
  • asked a question related to VLSI Design
Question
1 answer
See Vmin and Io as illustrated. Io is arithmetically centered about Io which is 100 A. Ignore bulk effects and keep W/L’s between 1 and 100.
Vmin and Io are determined by simulation of your design once using the HIGH model parameters and once using the LOW model parameters. These two simulations are to be plotted on an iout versus vout plot as shown above. Vmin is the distance horizontally from the origin to where the line AB intersects the right-most IV curve. Iois the biggest vertical difference between the two simulations form Vmin to 5V.
Relevant answer
Answer
Could you please add the figure you are describing?
  • asked a question related to VLSI Design
Question
3 answers
When using PrimeTime-PX to analyze the power consumption, we can use either SAIF file or VCD file. I only know VCD file contains more transition information and it can be transferred to the SAIF file. But I don't know the real difference. Can someone explain this? In which case, which format is better to evaluate the power?
Relevant answer
Answer
saif file - contains toggle counts and time information like how much time a signal was in 1 state(T1), 0 state(T0) , x state (TX). Also in backward saif file you can have timing information, arc information just like .lib (read saif manual).
vcd file - contains value changes of a signal. i.e. at what times signals changes their values. saif does not contains this information. it contains cumulative information of vcd.
you can convert a vcd file into saif file using Synopsys vcd2saif binary and see the effect.
Effectively vcd file is a superset of saif file. Any application which needs time stamps of individual value changes must have to use vcd e.g. time based power estimation or if you have a vcd file which is simulated between 0 to 10ns then you can do power analysis for any time range between 0 to 10ns e.g. power for 2-5, 3-7...
but for saif you can not do you have to regenerate saif for these time intervals.
  • asked a question related to VLSI Design
Question
4 answers
I am designing an iir filter which I have already designed a fixed point adder and multiplier, my main concern is with bits width. Since my input is of 16 bit width how much should be my final bit width after cascading it with for sos direct form 1 in floating point?
Relevant answer
Answer
Hi..
I have done similar work for FIR filter. If we want to know the word length of the final output, we have to go through the internal arithmetic operations. In this work, for the input word length of 16-bit, I can give the final result in 5-bits.
  • asked a question related to VLSI Design
Question
1 answer
All I can find about it is that it is a program for multi-level partitioning and actually can't find it's source from where we can get to know how it actually follows. So if any can help me out with references and a brief details.
Relevant answer
Answer
Please see the Wikipedia page for Graph Partitioning and locate the reference(s) for the Metis algorithm and hMetis algorithm. A Google search on Metis will also reveal related papers. Best wishes to you!
  • asked a question related to VLSI Design
Question
1 answer
This process uses a SCA-FF instead of SS-FF.
Relevant answer
Answer
The advantages and disadvantages of scan based logic testing are well documented in text books. A good place to start is the book by Bushnell and Agrawal. They have made the teaching foils available online to help the teachers and students. Best wishes.
  • asked a question related to VLSI Design
Question
2 answers
To work in modelling of ISFET (Ion Sensitive Field Effective Transistor), is it essential to have the device fabricated? If so, is it feasible to fabricate one at a laboratory/ Project level?
I see many papers on the same and they give results and waveforms, but do not mention how those were obtained. So is it that the device is fabricated first and then the actual results are verified against the SPICE model results?
I am relatively new to this area and hence please advice. I wish to work on ISFET for my project (Master of Engineering).
Thanks in advance.
Relevant answer
Answer
In my humble opinion, it'll take at least 6 months from the time you enter the cleanroom to when you have your first working ISFET. This is assuming your cleanroom is of decent quality and you have access to good training. Depending on what you plan on doing, this may be a worthwhile exercise or a waste of time.
If you're only interested in modeling, then you may not need to fabricate the device. You can rely on device simulators such as TCAD Sentaurus, which will nicely capture the transistor action of the ISFETs. The ion-sensitive gate material is not something that Sentaurus can model natively, but you should be able to modify some existing models (e.g. traps) to get what you need. There is a wealth of experimental ISFET data out there for any gate material that you might want to model.