Gregory F. Cardinale's research while affiliated with Sandia National Laboratories and other places

Publications (38)

Article
The effect of critical dimension (CD) variation and metallization ratio on the efficiency of energy conversion of a surface acoustic wave (SAW) correlator is examined. We find that a 10% variation in the width of finger electrodes predicts only a 1% decrease in the efficiency of energy conversion. Furthermore, our model predicts that a metallizatio...
Article
Full-text available
We report the surface acoustic wave (SAW) correlator devices fabricated using nanoimprint lithography. Using step-and-flash imprint lithography (S-FIL), we produced SAW correlator devices on 100 mm diameter z-cut LiNbO3 devices and an aluminum metal etch process. On the same chip layout, we fabricated SAW filters and compared both the filters and c...
Article
We describe the use and characterization of a bilevel photoresist for extreme ultraviolet lithography (EUVL). The bilevel photoresist consists of a combination of a commercially available polydimethylglutarimide (PMGI) bottom layer and an experimental EUVL photoresist top (imaging) layer. We measure the sensitivity of PMGI to EUV exposure dose as a...
Article
The relationships between polymer molecular weight, surface roughness measured by Atomic Force Microscopy (AFM), and EUV line edge roughness (LER), were studied in four separate rounds of experiments. In Round 1, EUV-2D (XP98248B) was prepared with seven levels of added base. These seven resists were patterned using EUV lithography; the LER was det...
Article
While interferometry is routinely used for the characterization and alignment of lithographic optics, the ultimate performance metric for these optics is printing in photoresist. The comparison of lithographic imaging with that predicted from wavefront performance is also useful for verifying and improving the predictive power of wavefront metrolog...
Article
Full-text available
Improvements in mask blank yield by the reduction in defects dramatically reduces the reticle cost of any lithography. Extreme ultraviolet lithography (EUVL) masks typically consist of a substrate coated with reflective multilayer (ML) materials (e.g., Mo and Si), followed by a sacrificial or “repair” layer (e.g., SiO2) and top absorber layer mater...
Article
Full-text available
In this paper, the printability of Extreme ultraviolet (EUV) mask contact layer defects at 90 nm contact size and above is studied via ultra-thin DUV resist and 10X EUV Microstepper. The EUV mask contact defect size requirement is determined by taking into account the wafer process critical dimension (CD) variability. In the experiment, two types o...
Article
Extreme Ultra-Violet lithography is one of the leading next generation lithography options. Currently, EUV masks are routinely made of reflective mirrors made of Mo/Si multi- layers, which have a peak reflectivity of 67.5% at a wavelength of 13.4 nm. However, in order to increase the throughput of an EUVL system, a new set of Be-based multi- layers...
Article
The thickness of the photoresist directly impacts the etch stand off and may impact the number of defects in the spin- coated film. However, the maximum imaging layer thickness for extreme ultraviolet lithography (EUVL) is limited by absorption of the radiation. Attenuation in photoresist materials at relevant EUV wavelengths was calculated with at...
Article
In an attempt to narrow the choice for an absorber used in EUV masks, different materials are being evaluated. These materials need to meet the absorber requirements of EUV absorbance, emissivity, inspection, and repair, to name a few. We have fabricated masks using Cr absorbers. The absorber stack consists of a repair buffer of SiON and a conducti...
Article
Full-text available
We propose the use of optical proximity correction on absorber features to compensate for the effect of sub-resolution multilayer defects that would otherwise induce a critical error in linewidth. A series of defect printability and compensation experiments utilizing programmed multilayer defects are presented which demonstrate this approach. The a...
Article
Full-text available
We report on the comparison of defect printability experimental results with at-wavelength defect inspection and printability modeling at extreme ultraviolet (EUV) wavelengths. Two sets of EUV masks were fabricated with nm- scale substrate defect topographies patterned using a sacrificial layer and dry-etch process, while the absorber pattern was d...
Article
Full-text available
We propose the use of optical proximity correction on absorber features to compensate for the effect of subresolution multilayer defects that would otherwise induce a critical error in linewidth. Initial experiments have been performed which validate this concept. Process window simulations quantify the practical limits of this technique. (c) 1999...
Article
In two separate experiments, we have successfully demonstrated the transfer of dense- and loose-pitch line/space (L/S) photoresist features, patterned with extreme ultraviolet (EUV) lithography, into an underlying hard mask material. In both experiments, a deep-UV photoresist (∼90 nm thick) was spin cast in bilayer format onto a hard mask (50–90 nm...
Article
Extreme ultraviolet (EUV) lithography masks were fabricated using a stack of TaSi or TaSiN (absorber), SiON (repair buffer), and Cr (conductive etch stop) on a Mo/Si multilayer mirror deposited on a Si wafer. High-resolution structures were exposed using a commercial i-line resist, and the pattern was transferred using both electron cyclotron reson...
Article
The capabilities of the EUV 10x microstepper have been substantially improved over the past year. The key enhancement was the development of a new projection optics system with reduced wavefront error, reduced flare, and increased numerical aperture. These optics and concomitant developments in EUV reticles and photoresists have enabled dramatic im...
Article
The capabilities of the EUV 10x microstepper have been substantially improved over the past year. The key enhancement was the development of a new projection optics system with reduced wavefront error, reduced flare, and increased numerical aperture. These optics and concomitant developments in EUV reticles and photoresists have enabled dramatic im...
Article
In the last two years, we have developed tow Extreme UV (EUV) mask fabrication process flows, namely the substractive metal and the damascene process flows, utilizing silicon wafer process tools. Both types of EUV mask have been tested in a 10X reduction EUV exposure system. Dense lines less than 100 nm in width have been printed using both 0.6 mic...
Article
Extreme UV Lithography (EUVL) is one of the leading candidates for the next generation lithography, which will decrease critical feature size to below 100 nm within 5 years. EUVL uses 10-14 nm light as envisioned by the EUV Limited Liability Company, a consortium formed by Intel and supported by Motorola and AMD to perform R and D work at three nat...
Article
Full-text available
In extreme ultraviolet lithography (EUVL), the technology specific requirements on the mask are a direct consequence of the utilization of radiation in the spectral region between 10 and 15 nm. At these wavelengths, all condensed materials are highly absorbing and efficient radiation transport mandates the use of all-reflective optical systems. Ref...
Article
Full-text available
The strong attenuation of extreme UV (EUV) radiation by organic materials necessities the use of a thin layer imaging (TLI) process for EUV lithography. Several TLI processes have been identified for potential use for EUVL, and the common theme in these approaches is the transfer of the aerial image to a thin layer of refractory-containing material...
Article
The Sandia EUV 10x microstepper system is the result of an evolutionary development process, starting with a simple 20x system, progressing through an earlier 10x system, to the current system that has full microstepper capabilities. The 10x microstepper prints 400-micrometers -diameter fields at sub- 0.10-micrometers resolution. Upgrades include t...
Article
In this paper, the printability of Extreme UV (EUV) mask defects at 100 nm design rule is studied via top surface imaging (TSI) resist process. The EUV mask defect size requirement is determined by taking into account the wafer process critical dimension (CD) variability. In the experiment, a programmed EUV absorber defect mask was first fabricated...
Article
Using anisotropic elasticity theory, we analyze the relative thermodynamic stabilities of strained graphitic (hexagonal) BN and cubic BN (cBN) single-crystal structures for all orientations of biaxial stress and strain fields relative to the crystallographic directions. In hBN, the most thermodynamically stable orientation has the graphitic basal p...
Article
Full-text available
This article reports results from the successful fabrication of metal–oxide–semiconductor (MOS) devices with extreme ultraviolet lithography. n‐type MOS transistors with gate lengths of 0.1 μm were fabricated and demonstrated good device characteristics. The alignment strategy, mask layout, mask fabrication, and device characteristics will be repor...
Article
We have investigated the residual stress of cubic boron nitride (cBN) thin films using a cantilever-beam deflection method. The residual stresses were determined by measuring the deflection of cantilever beams micromachined from (100)-oriented silicon, and the hardness and elastic modulus of sp2-bonded BN (sp2-BN) were determined from nanoindentati...
Article
We present a technique for micromachining silicon cantilever beams for thin-film stress measurement. The silicon microbeams were fabricated using a two-step etch process with potassium hydroxide (KOH) as the anisotropic etchant. The final beam geometry is optimized by using a 45% KOH solution and double-side polished silicon wafers as the starting...
Article
We examine the crystallographic texture exhibited by cubic boron nitride (cBN) in thin films grown by ion‐assisted deposition. Our analysis indicates that the cBN is preferentially oriented such that individual crystallites have at least one [111] direction lying in the plane of the film but are otherwise randomly oriented about (1) the substrate n...
Article
We have examined the effect of the substrate in cubic boron nitride (c‐BN) film synthesis by depositing BN films on a variety of materials using ion‐assisted pulsed laser deposition. Using optical modeling, we estimated the c‐BN content of the films from the measured infrared reflectance spectra. We find less c‐BN in films grown on metal substrates...
Conference Paper
This paper reports the first demonstration of MOS device fabrication using extreme ultraviolet lithography. The alignment strategy, mask layout, mask fabrication, and device characteristics will be reported.
Article
The influence of environmental conditions on cubic boron nitride (cBN) film adhesion to silicon substrated was investigated. cBN films were deposited on (100)-oriented silicon substrates by ion-assisted pulsed laser deposition. The irradiating ions were mixtures of nitrogen with (i) argon, (ii) krypton and (iii) xenon. Under room-ambient conditions...
Article
We have investigated how ion irradiation can selectively promote the formation of dense sp3-bonded cubic boron nitride (cBN) over the graphite-like sp2-bonded phases. We have conducted a series of experiments using ion-assisted pulsed laser deposition in which either the ion mass (mion) or ion energy (E) was varied in conjunction with the ratio of...
Article
Thin films of iridium and gold were deposited using the saddle‐field source with argon as the sputtering gas. The purpose of this investigation was to determine the effects of deposition parameters on the grain size and composition of the films with thicknesses of 1.0, 2.5, and 5.0 nm, which are typical of the coatings used for high resolution elec...
Article
The elastic modulus E of diamond is often set equal to 1/s11 equals 1050 GPa, which assumes that is does not vary much with orientation, and many authors use (upsilon) equals 0.2 as an appropriate average value of Poisson's ratio, which is incorrect. In fact, since the elastic constants of diamond are known with great accuracy, it is a straightforw...
Article
The structure and composition of thin, conductive metallic films of chromium and iridium that are typical of the coatings used for electron microscopy is described. The purpose of this study was to determine the grain size and composition of the films deposited, with thicknesses of 1 nm, 2.5 nm, and 5 nm, onto amorphous carbon films using ion beam...
Article
A template for imprint lithography (IL) that reduces significantly template production costs by allowing the same template to be re-used for several technology generations. The template is composed of an array of spaced-apart moveable and individually addressable rods or plungers. Thus, the template can be configured to provide a desired pattern by...

Citations

... To obtain the morphology of the electrocharged melt-blown filter layer, the filter was cut to obtain a 5 mm × 5 mm sample that was coated with iridium (Ir) for 15 min by the ion sputtering method. 15 Particle Filtration Efficiency (PFE) Test. The standard tests with paraffin oil mist and NaCl particles were used to study the effect of the ozone treatment on the filtration efficiency of KF94 masks. ...
... The fabrication of circuits on silicon wafers is done with various layers, each with its own pattern, deposited on the surface by a specific order and over precise areas that are defined by the technological process. The several patterns used while depositing layers on the substrate (or during other realization steps such as doping or etching) are shaped by a process called lithography [9]. Some technological steps necessary to realize a surface acoustic wave sensor are described in Figures 2-5 resulting from Silvaco simulations (obviously during realization, all these processes take place inside the clean room). ...
... Ainsi, l'illumination annulaire est l'éclairement standard, et le remplissage complet de la pupille de projection correspond à un facteur de cohérence partielle σ = [0,3 0,7]. Un système de trois miroirs mobiles permet néanmoins d'obtenir un grand nombre de types d'illumination (quadripole, dipole, point source…) [98]. Le premier miroir sert à homogénéiser l'illumination, alors que les deux autres permettent de remplir la pupille de projection selon les directions horizontales et verticales. ...
... Firstly, the absorber-related defects must be repaired, either by etching away opaque defects or by adding absorber on clear defects. Secondly, the structures patterned on non-removable blank defects must be edited or compensated 11 in order to present lithographically acceptable process windows. and patterning, the defectivity map before repair can contain blank-related defects (round signs) as well as absorber-related defects (crosses). ...
... Here we report on the results obtained from two recently fabricated optics subsequently referred to as B1 and B2. Both optics were developed to meet wave-front figure specifications of better than 0.8-nm rms 19,20 and flare specifications of less than 5% in an isolated, dark 4-m line. ...
... The focal region to be visualized was the low dose state before reaching Eth, and the NTD resist film growth process with exposure was characterized by AFM and cross-section SEM images. First, the results of AFM are introduced in Fig. 2 [3][4]. The RMS of surface roughness generated on the substrate increased with the exposure energy up to the Eth point. ...
... Other critical properties which need to be preciously controlled are layer uniformity, thickness, interfacial roughness and defects within multilayers. It was observed that silicide formed within Si-Mo interface which could deteriorate EUV reflectivity, an optimized deposition process has been developed by Applied Materials to limit the silicide within subnm region, and such maximize reflectivity of EUV light [32] . ...
... Understanding the defect's electromagnetic signature and printability becomes crucial to understanding the necessary levels of inspection and tolerances needed for producing acceptable mask blanks for the semiconductor industry. While several programmed defect printability studies have been performed over the years [9,10,11], thorough and predictive defect landscape maps are still needed. Therefore, landscape maps that vary the aspect ratios and defect shapes will be presented for both 2D and 3D defects. ...
... 7shows a schematic diagram of the monochromator and optics[19]–[22]used on beamline 12.0 at the ALS to further monochromatize radiation from the 8-cm-period undulator. The beamline is primarily used for EUV interferometry[23]–[28]of multilayercoated[29],[30]optical systems[31],[32]intended for use in EUV lithography (EUVL) applications[33],[34]at wavelengths from 11 to 14 nm[29],[30]. The monochromator provides a relative spectral bandwidth variable between 10 and 5 10 , at photon energies extending from about 60 to 250 eV. ...
... F OR volume nanoelectronics production using extreme ultraviolet (EUV) lithography [1] to become a reality around the year 2011, advanced EUV research tools are required today. Microfield exposure tools have played a vital role in the early development of EUV lithography [2]- [4] concentrating on numerical apertures (NA) of 0.2 and smaller. Expected to enter production at the 32-nm node with numerical apertures (NAs) of 0.25, however, EUV can no longer rely on these early research tools to provide relevant learning. ...