ArticlePDF Available

Ferroelectric field effect transistors for electronics and optoelectronics

Authors:

Abstract and Figures

Ferroelectric materials have shown great value in the modern semiconductor industry and are considered important function materials due to their high dielectric constant and tunable spontaneous polarization. A ferroelectric field effect transistor (FeFET) is a field effect transistor (FET) with ferroelectric polarization field introduced to regulate carriers in semiconductors. With the coupling of ferroelectric and semiconductor, FeFETs are attractive for advanced electronic and optoelectronic applications, including emerging memories, artificial neural networks, high-performance photodetectors, and smart sensors. In this review, representative research results of FeFETs are reviewed from the perspective of structures and applications. Here, the background and significance of ferroelectrics and FeFETs are given. Furthermore, methods of building FeFETs in different structures and physical models describing the characteristics of FeFET are introduced. Important applications of FeFETs in electronics and optoelectronics are presented, with a comparison of performance between FeFETs and FETs without ferroelectrics, including memories and memristive devices, photodetectors, negative capacitance FETs, sensors, and multifunctional devices. Finally, based on the above discussions, promising applications and challenges of FeFETs are summarized.
Nonvolatile memories based on FeFETs. [(a)-(c)] Schematic and performance of a FeFET memory based on 22 nm FDSOI technologies. 164 Reproduced with permission from D€ unkel et al., paper presented at the 2017 IEEE International Electron Devices Meeting (IEDM) (2017). Copyright 2017 IEEE. (a) A cross-sectional TEM image of embedded FeFET memory integrated into the 22 nm FDSOI platform. (b) Transfer characteristics of the device with low-and high-V T (63.8 V, 10 ls) with a 1.5 V memory window. (c) Physical gate length scaling of FeFET compared to the eNVM logic platforms. [(d)-(f)] Schematic and programming characteristics of a polymer FeFET memory. 172 Reproduced with permission from Naber et al., Nat. Mater. 4(3), 243 (2005). Copyright 2015 Springer Nature. (d) Transfer characteristics and gate current of a polymer ferroelectric FET, where the thickness of the ferroelectric layer is 1.7 lm. Inset: schematic of the FeFET with P(VDF-TrFE) as bottom gate and MEH-PPV as p-type semiconductor channel. (e) Data retention characteristics measured by programming the on-or off-state once and monitoring the drain current at zero gate bias for a week. Both states were attained with a gate voltage of 677.5 V. (f) The on-and off-state drain current at zero gate bias as a function of the number of applied gate voltage. [(g) and (h)] Schematic and performance of a 2D FeFET memory based on MoSe 2 . 180 (g) Schematic of the 2D FeFET with MoSe 2 as the channel. (h) Transfer characteristics of the 2D FeFET with different V sd . (i) Retention performance of the FeFET memory with no degradation after 2000 s.
… 
Content may be subject to copyright.
Appl. Phys. Rev. 10, 011310 (2023); https://doi.org/10.1063/5.0090120 10, 011310
© 2023 Author(s).
Ferroelectric field effect transistors for
electronics and optoelectronics
Cite as: Appl. Phys. Rev. 10, 011310 (2023); https://doi.org/10.1063/5.0090120
Submitted: 03 March 2022 • Accepted: 20 January 2023 • Published Online: 23 February 2023
Hanxue Jiao, Xudong Wang, Shuaiqin Wu, et al.
COLLECTIONS
This paper was selected as Featured
This paper was selected as Scilight
Ferroelectric field effect transistors for electronics
and optoelectronics
Cite as: Appl. Phys. Rev. 10, 011310 (2023); doi: 10.1063/5.0090120
Submitted: 3 March 2022 .Accepted: 20 January 2023 .
Published Online: 23 February 2023
Hanxue Jiao,
1,2
Xudong Wang,
1,a)
Shuaiqin Wu,
1,3
Yan Chen,
1,3,a)
Junhao Chu,
1,2,3
and Jianlu Wang
1,3,4,b)
AFFILIATIONS
1
State Key Laboratory of Infrared Physics, Shanghai Institute of Technical Physics, Chinese Academy of Sciences,
No. 500 Yutian Road, Shanghai 200083, China
2
University of Chinese Academy of Sciences, No. 19 A Yuquan Road, Beijing 100049, China
3
Shanghai Frontier Base of Intelligent Optoelectronics and Perception, Institute of Optoelectronics, Fudan University, Shanghai
200433, China
4
Frontier Institute of Chip and System, Fudan University, Shanghai 200433, China
a)
wxd0130@mail.sitp.ac.cn and cherry16@mail.sitp.ac.cn
b)
Author to whom correspondence should be addressed:jlwang@mail.sitp.ac.cn
ABSTRACT
Ferroelectric materials have shown great value in the modern semiconductor industry and are considered important function materials due
to their high dielectric constant and tunable spontaneous polarization. A ferroelectric field effect transistor (FeFET) is a field effect transistor
(FET) with ferroelectric polarization field introduced to regulate carriers in semiconductors. With the coupling of ferroelectric and
semiconductor, FeFETs are attractive for advanced electronic and optoelectronic applications, including emerging memories, artificial neural
networks, high-performance photodetectors, and smart sensors. In this review, representative research results of FeFETs are reviewed from
the perspective of structures and applications. Here, the background and significance of ferroelectrics and FeFETs are given. Furthermore,
methods of building FeFETs in different structures and physical models describing the characteristics of FeFET are introduced. Important
applications of FeFETs in electronics and optoelectronics are presented, with a comparison of performance between FeFETs and FETs with-
out ferroelectrics, including memories and memristive devices, photodetectors, negative capacitance FETs, sensors, and multifunctional
devices. Finally, based on the above discussions, promising applications and challenges of FeFETs are summarized.
V
C2023 Author(s). All article content, except where otherwise noted, is licensed under a Creative Commons Attribution (CC BY) license (http://
creativecommons.org/licenses/by/4.0/).https://doi.org/10.1063/5.0090120
TABLE OF CONTENTS
I. INTRODUCTION . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1
II. DEVELOPMENT OF FEFETS . . . . . . . . . . . . . . . . . . . . . . 2
III. FERROELECTRICS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3
A. Properties of ferroelectrics. . . . . . . . . . . . . . . . . . . . . 3
B. Ferroelectric materials . . . . . . . . . . . . . . . . . . . . . . . . 4
IV. STRUCTURES OF FERROELECTRIC FETS . . . . . . . . . 7
V. FEFETS FOR ELECTRONIC APPLICATIONS . . . . . . . . 8
A. Memory . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8
B. Memristive devices . . . . . . . . . . . . . . . . . . . . . . . . . . . 11
C. Low power consumption devices . . . . . . . . . . . . . . . 11
VI. FEFETS FOR OPTOELECTRONIC
AND OTHER APPLICATIONS . . . . . . . . . . . . . . . . . . . . 14
A. Photodetectors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14
B. Multifunctional devices . . . . . . . . . . . . . . . . . . . . . . . 16
C. Sensors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17
VII. SUMMARY AND OUTLOOK . . . . . . . . . . . . . . . . . . . . . 19
I. INTRODUCTION
Since the discovery of the first ferroelectric crystal, Rochelle salt, a
century ago,
1
ferroelectric materials have become one of the most
important function materials. Early ferroelectric materials were limited
in the laboratory due to their instability and fragility, until the discov-
ery of robust ferroelectric perovskite BaTiO
3
during World War II,
which inspired the rapid development of ferroelectric materials and
relevant devices. Nowadays, ferroelectric materials encompass a large
system of materials, including perovskites,
2,3
organic polymers,
4–7
Appl. Phys. Rev. 10, 011310 (2023); doi: 10.1063/5.0090120 10, 011310-1
V
CAuthor(s) 2023
Applied Physics Reviews REVIEW scitation.org/journal/are
liquid crystals,
8–10
and two-dimensional (2D) ferroelectrics.
11–13
With
the development of quantum physics, quantum-mechanical ab initio the-
ory and modern polarization theory have been introduced to describe
ferroelectric behavior, and comprehension of the origin and mechanism
of ferroelectric has ascended to a new stage. Based on the characteristics
of ferroelectric, including high dielectric constant, piezoelectricity, pyro-
electricity, and ferroelectricity, ferroelectric materials have shown great
value for sensing and energy storage. Since a thin-film ferroelectric field
effect transistor (FeFET) working as a memory resistor was proposed by
Moll and Tarui in 1963,
14
FeFET has come into the view of researchers
for its intrinsic nonvolatile characteristics and low operation energy.
Beyond memories, coupling of ferroelectric polarization field with elec-
tronic or optoelectronic material in FeFETs brings numerous novel phys-
ical phenomena, including adaptive-learning capability,
15–20
negative
capacitance (NC) effect,
21–29
dark current suppression and photogain
amplification,
30–34
and modulation of ferromagnetism,
35
thus inspiring
research of memristive devices,
36,37
low power consumption devices,
38–41
high sensitivity photodetectors,
42–45
tactile sensors,
46–48
and multi-
functional devices.
49–52
However, issues of depolarization and compati-
bility with modern semiconductor processing bring challenges for
practical applications of FeFETs.
Recently, due to ferroelectricity discovered in HfO
2
,
53–55
the interest
in FeFETs is, once again, rising and HfO
2
-based FeFETs are considered
a promising candidate for next-generation advanced semiconductor
devices. HfO
2
hasbeenwidelyusedasthedielectriclayerinCMOS
circuits and has good compatibility with silicon processing. Moreover,
the scale-free ferroelectricity of HfO
2
is attractive for its potential to
overcome the issue of depolarization in traditional ferroelectrics.
56
AnotherpromisingsolutionforFeFETsmaybevanderWaals(vdWs)
FeFETs. Based on vdWs integration, the ferroelectric/semiconductor
interface is integrated via intermolecular force instead of chemical
bonds,
13
so that a perfect and atomically flat interface is attained. With
attention turned to 2D and other low-dimensional materials, FeFETs
have become especially attractive for their enhanced controllability of fer-
roelectricity in low-dimensional structures. It has been confirmed that
ferroelectrics can improve carrier mobility and modulate the bandgap of
some 2D semiconductors.
30,57
The superiority of FeFETs in improving
performance with good stability and low power consumption has been
confirmed in theoretical and experimental investigations.
22,58–65
Up to
now, many 2D ferroelectrics have been predicted and proved,
11–13
show-
ing great application potential of all-2D FeFETs, while the origin of ferro-
electricity in 2D materials remains to be investigated.
In this review, we focus on the development and applications of
FeFETs over the past century. Developments of ferroelectric materials
and FeFETs are given in Sec. II. Background of the ferroelectric prop-
erties and introduction of certain classical ferroelectric materials are
given in Sec. III.InSec.IV, the methods of introducing ferroelectrics
into FETs to build FeFETs are summarized. In Secs. Vand VI,FeFETs
for electronic and optoelectronic applications are exhibited and dis-
cussed, including memories, memristive devices, low-consumption
devices, photodetectors, multi-functional devices, and sensors. Finally,
the opportunities and challenges of FeFETs are discussed in Sec. VII.
II. DEVELOPMENT OF FeFETS
The history of ferroelectrics dates back to the early 20th century.
The history of ferroelectrics and FeFETs is shown in Fig. 1.
FIG. 1. History of ferroelectric materials and FeFETs.
1,11,13,14,23,30,51,73,77–79
The upper line gives years of observations of some classical ferroelectric materials, including
Rochelle salt, BTO, PZT, PVDF, doped HfO
2
, CIPS, and MoTe
2
. The bottom line gives years of proposal of representative structures and applications of FeFET, including FeFET
memory, FeFET chip, FeFET neuron circuit, Fe-NCFET, FeFET-based photodetector, all-2D FeFET, and FeFET multifunctional device. Inset: Reproduced with permission from
Valasek, Phys. Rev. 17(4), 475 (1921). Copyright 1921 American Physical Society. Reproduced with permission from M. Si et al., ACS Nano 12, 6700 (2018).
237
Copyright 2018
American Chemical Society. Reproduced with permission from Scott and De Araujo, Science 246, 4936 (1989). Copyright 1989 The American Association for the Advancement
of Science.
Applied Physics Reviews REVIEW scitation.org/journal/are
Appl. Phys. Rev. 10, 011310 (2023); doi: 10.1063/5.0090120 10, 011310-2
V
CAuthor(s) 2023
Ferroelectricity was theoretically predicted for the first time in 1912
(Refs. 65 and 66) and was confirmed in Rochelle salt [KNa
(C
4
H
4
O
6
)4H
2
O] by Valasek in 1921.
1
However, Rochelle salt is fragile
and well soluble in water, causing limitation for investigation and
application. During World War II, ferroelectric ceramic materials and
devices developed rapidly for the discovery of perovskite BaTiO
3
,a
robust and low-cost dielectric ceramic. In 1945 and 1946, the ferroelec-
tricity of BaTiO
3
was confirmed by Wul and Goldman
67
from the
Soviet Union and von Hippel
68
from the Massachusetts Institute of
Technology, respectively. To date, perovskites remain representative of
ferroelectric ceramics and play an important role in ferroelectric devi-
ces, such as ferroelectric capacitors, filters, sonars, buzzers, sensors,
and detectors, which are widely used in military and civilian applica-
tions. Another classical type of ferroelectric material is lead zirconate
titanate (PZT), the ferroelectricity of which was reported by
Sawaguchi in 1953.
69
PZT, a compound of PbZrO
3
and PbTiO
3
,
exhibits excellent piezoelectric performance and has become main-
stream ferroelectric material in ferroelectric memories.
70
With the
development of thin-film technology, organic ferroelectrics gain more
attention. A typical organic ferroelectric material is polyvinylidene
fluoride (PVDF). The piezoelectricity and pyroelectricity of PVDF
were confirmed in the 1970s (Ref. 71) and ferroelectricity was con-
firmed by Furukawa et al. in 1981.
6
A related copolymer, poly(vinyli-
dene fluoride-trifluoroethylene) [P(VDF-TrFE)], was also confirmed
as ferroelectric in the 1980s.
72
Since 2011, HfO
2
was found to form a
stable ferroelectric phase with various elements doped, such as Si, Zr,
Y, and Al.
53,54,73–75
HfO
2
is an emerging ferroelectric, drawing much
attention recently due to good compatibility with silicon processing.
Recently, it has been theoretically predicted that some 2D semiconduc-
tors may have in-plane or out-of-plane ferroelectricity for asymmetric
crystal configurations.
76
Various 2D ferroelectric materials have been
reported, including CuInP
2
S
6
(CIPS),
11
MoTe
2
,
77
and a-In
2
Se
3
.
12
Two-dimensional ferroelectrics are mainly attractive for in-plane fer-
roelectricity, since it is difficult for bulk ferroelectricity to achieve in
standard vertical device structures. The discovery of 2D ferroelectrics
inspires interest in novel full-2D FeFETs based on ferroelectric
semiconductors.
FeFET was proposed as a nonvolatile memory for the first time
in 1963 by Moll and Tarui,
14
in the form of a thin-film transistor with
a ferroelectric gate dielectric. In 1989, a feasible solution for ferroelec-
tric memory chip based on one transistor and one capacitor (1T1C)
architecture was discussed by Scott and Paz de Araujo.
78
Connected
with a pass-gate transistor, each ferroelectric memory could be electri-
cally isolated from its neighbors, so that the disturbing issue could be
avoided. The physical behavior of FeFET was theoretically investigated
in 1992
80
by building a physical model of FeFET as well as by combin-
ing the model of a metal-oxide-semiconductor FET (MOSFET) and
the time-dependent characteristics of a ferroelectric thin film. It could
be concluded from the model that the switching state of a ferroelectric
memory is related to the history of gate voltage and shows memristive
characteristics. The idea of FeFET-based memristive devices for neural
networks was proposed by Ishiwara et al. in 1993.
79
The state of ferro-
electric memories could be gradually increased or decreased by input
appropriate electric pulse sequences, which is similar to synapse with
adaptive weight in a neural network. Therefore, ferroelectric memris-
tive devices could simulate neural synapses and realize artificial neural
networks (ANNs) through hardware simulation rather than algorithm
design, thereby greatly reducing circuit cost and operation time.
Another important application of FeFETs is ferroelectric negative
capacitance FETs (NCFETs). The theory of the negative capacitance
effect in ferroelectric film was proposed by Salahuddin and Dutta in
2008.
81
As reported, ferroelectric NCFETs have been verified in differ-
ent materials and structures, and the SS at room temperature could be
reduced to as low as 0.5 mV/dec,
82
which is close to ideal switching
performance.
Since the discovery of 2D materials, ferroelectric fields have
shown great potential in high-performance 2D devices. The atom-
level flat vdWs interface and the quantum confinement effect in 2D
FETs bring many attractive properties. In 2009, a 2D FeFET based on
few-layer graphene with a PZT ferroelectric gate was demonstrated by
Hong et al.
83
and a significant improvement in mobility was enabled.
Subsequently, with the rapid development of low-dimensional materi-
als, various materials and structures have been investigated in FeFETs,
such as graphene,
17,44,61,83–86
2D transition metal dichalcogenides
(TMDs),
42,87
TMDs nanowires and quantum dots,
32,34,88
Si nano-
wires,
89
and carbon nanotubes,
90
with widely performance improve-
ment. High-performance 2D photodetectors based on a FeFET were
demonstrated.
30
As reported, the ferroelectric polarization field has
the capability of regulating intrinsic carriers and band structures of
low-dimensional semiconductors. Based on the discovery of 2D ferro-
electrics, a full-2D FeFET was demonstrated in 2018.
13
Research of fer-
roelectric regulation mechanism has been propelled into the
nanoscale. With advanced scanning probe technologies, ferroelectric
domains could be precisely controlled in arbitrary shapes and sizes. A
multifunctional FeFET device based on scanning probe technologies
was reported,
51
exhibiting photodetector and memory functions.
However, mechanisms of the outstanding performance in FeFETs are
complex and complicated, including field effect, shielding effect, inter-
face electrostatic doping, and chemical doping, and remain to be fur-
ther investigated and discussed.
III. FERROELECTRICS
A. Properties of ferroelectrics
Ferroelectrics refer to crystals exhibiting spontaneous polariza-
tion, whereby the direction of spontaneous polarization changes with
the external field.
91
Ferroelectrics have some basic properties including
high dielectric constant, piezoelectricity, pyroelectricity, and ferroelec-
tricity. Ferroelectrics gained attention for their high dielectric constant
at first, which has been proved to be related to ferroelectricity.
67,68
Due
to the existence of permanent electric dipoles, the dielectric constant of
ferroelectrics is usually higher than that of ordinary dielectrics, espe-
cially in the low-frequency band.
Ferroelectricity originates from the special crystal structure of fer-
roelectrics. Taking perovskite ABO
3
as an example,
67,92
as shown in
Fig. 2(a), the cubic phase is a symmetrical paraelectric phase without
spontaneous polarization, where metal atoms A and B are located in
the center of oxygen octahedrons, respectively. The asymmetric ferro-
electric phases of perovskites include the tetragonal phase, orthogonal
phase, and triangular phase. In ferroelectric phases, positive and nega-
tive ions are relatively displaced, breaking the coincidence of positive
and negative charge centers. Therefore, an electric dipole moment is
generated, and ferroelectric materials show spontaneous polarization.
As for organic ferroelectrics, for instance, P(VDF-TrFE),
72,93
the ferro-
electricity comes from crystalline phases with polarity. The crystalline
Applied Physics Reviews REVIEW scitation.org/journal/are
Appl. Phys. Rev. 10, 011310 (2023); doi: 10.1063/5.0090120 10, 011310-3
V
CAuthor(s) 2023
phase with the strongest polarity is the bphase, which originates from
the non-polar aphase. A molecular chain of the aphase is stretched
to several times its original length to attain the highly oriented bphase.
The direction of ferroelectric polarization is perpendicular to the direc-
tion of stretching. A symmetrical phase without polarization is shown
in Fig. 2(b), with F atoms and H atoms distributed equally at both
sides of the chain. When P(VDF-TrFE) exhibits upward polarization,
most of the F atoms move to the upward side of the chain. Under ideal
conditions, all F atoms of P(VDF-TrFE) are located at one side of the
chain while all H atoms are located at the other side, i.e., exhibiting an
all-trans confirmation, and the dipole moments reach a maximum
value. Similarly, when P(VDF-TrFE) exhibits downward polarization,
theFatomsmovetothedownwardsideofthechain.
In the initial state, the orientation of the electric dipole moment in
ferroelectric is random, and ferroelectric appears electrical neutrality
externally, corresponding to point Oin PEhysteresis loop in
Fig. 2(c). When an external electric field is applied, the orientation of the
electric dipole moment tends to be in line with the direction of the exter-
nal field, and the ferroelectric polarization field generates inside. As
shown in Fig. 2(a), the change of electric dipole moment orientation is
achieved by the relative displacement of positive and negative ions.
Taking an oxygen octahedron as a baseline, the positive ion inside
moves along the symmetry axis. When the applied electric field causes
the positive ion to move downward in the oxygen octahedron, the direc-
tion of the electric dipole moment is downward, and the polarization
state is called downward polarization. Similarly, with an opposite electric
field applied, the electric dipole moment is upward, called upward polar-
ization, and corresponds to the OA segment of the hysteresis loop. The
point Ps, which is the intersection of the tangent line at A point and P
axis, means spontaneous polarization intensity of ferroelectrics.
With the applied electric eld reducing, the internal electric
dipole moment of ferroelectric material decreases and tends to be dis-
tributed disorderly, but it will not return to completely random distri-
bution when the electric field is zero, so the material still shows
polarization called remanent polarization, and the intensity of it is Pr
in DEhysteresis loop. When an inverted electric field is applied,
part of the electric dipole moment turns over to keep consistent with
the electric field, and polarization is partially offset, so that total polari-
zation intensity gradually reduces. When polarization intensity exactly
reduces to zero, the corresponding electric field is called the coercive
field Ec. With the inverted electric field keep increasing, the amount of
inverted electric dipole moment is greater than that of unturned ones,
so that the direction of total polarization switches. Similarly, the above
process could be reversed again to obtain a complete hysteresis loop. It
shows that the direction of ferroelectric polarization is related to the
external electric field, and polarization switching is reversible and
repeatable.
The polarization switching of ferroelectrics is mainly divided into
four stages: nucleation of new domains, growth of nucleation, lateral
movement of domain walls, and formation of new domains.
94
Different polarization switching models are proposed depending on
whether the limited stage is domain nucleation or domain wall
motion. In Kolmogorov–Avrami–Ishibashi (KAI) model,
95,96
polariza-
tion switching is limited by lateral propagation of the domain wall.
Domain wall motion is contributed by nucleation and growth of criti-
cal nuclei at domain walls. It has been shown that the KAI model is
valid for a ferroelectric film with approximately infinite grains, such as
bulk materials or high-purity epitaxial layers.
97
For polycrystalline fer-
roelectric films, a high number of dislocations and defects in lms
could serve as nucleation sites. In this case, the energy contribution of
nucleation is more than domain propagation, and ferroelectric switch-
ing is limited by the stage of the nucleation event.
98,99
The nucleation
limited switching (NLS) model assumes that the ferroelectric thin film
consists of several regions with independent switching dynamics with
a distribution function of nucleation time.
B. Ferroelectric materials
The first discovered ferroelectric material, Rochelle salt,
1
is a typi-
cal inorganic ferroelectric material with hydrogen bonds and its
FIG. 2. Polarization mechanism of classic ferroelectric materials. (a) A unit cell of perovskite, where metal atoms A and B are in the center of oxygen octahedrons relatively.
The states of unpolarization (or fresh state), upward polarization, and downward polarization are shown, respectively. (b) A molecular chain of PVDF, where C atoms, F atoms,
and H atoms are labeled with different colors. The states of unpolarization (or fresh state), upward polarization, and downward polarization are shown, respectively. (c) A typical
ferroelectric hysteresis loop, where P
s
is saturated polarization, P
r
is remnant polarization, and E
c
is the coercive field.
Applied Physics Reviews REVIEW scitation.org/journal/are
Appl. Phys. Rev. 10, 011310 (2023); doi: 10.1063/5.0090120 10, 011310-4
V
CAuthor(s) 2023
spontaneous polarization is mainly derived from hydroxide group.
The subsequently discovered inorganic ferroelectric crystal KH
2
PO
4
also possesses hydrogen bonds; however, the origin of its ferroelectric-
ity is mainly contributed to by hydrogen bonding.
100
Table I provides the ferroelectric performance of some classic fer-
roelectrics, including inorganic ferroelectrics, organic ferroelectrics,
hybrid systems, and 2D ferroelectrics. Among inorganic ferroelectric
materials, ferroelectric ceramics are the most common and widely used.
One typical type of ferroelectric ceramic is ABO
3
perovskite, where
A and B represent two different metal elements. Common couples of A
and B are group II and IV or group I and V elements. As ferroelectric
ceramics, perovskites have been rapidly developed since the 1940s and
remain one of the most important ferroelectric materials for excellent
performance, such as high dielectric constant (e¼1700 of BTO), excel-
lent stability, high Curie temperature (over 100 C), and large remanent
polarization [>26 of BTO and 70 lCcm
2
of BTO/DyScO
3
(Ref. 101)].
Another representative inorganic ferroelectric is LiNbO
3
.Theferroelec-
tricity of LiNbO
3
originates from the displacement of metal ions in
TABLE I. Ferroelectric performance of some classic ferroelectrics.
Type Material
Relative
dielectric
constant
Space
group
Curie
temperature
(C)
Remanent
polarization
(lCcm
2
)
Coercive
field
(kV cm
1
)
Piezoelectric
coefficient
(pC N
1
) Ref.
Lead-free
ceramic
BTO 1700 P4 mm 115 7.5 4 190 128
BaTiO
3
/GdScO
3
P4 mm 130 50 80 101
BaTiO
3
/DyScO
3
P4 mm 130 70 25 101
NBT 320 38 73 103
NBT-KBT-BT 982 23.55 213 103
KNN 648 372 9.84 10.93 71 104
KNN:Li 530 450 20 13.4 215 129
NKN:LT 570 420 9 12.5 200 130
LT 49.4 663 32.48 28.66 131
La
2
Ti
2
O
7
C
22
-P2
1
1500 45 3 132
PZT PZT 1300–3400 193–328 15–20 55 289–593 133–135
PLZT 1300 145 26.9 6.7 235 136,137
PMN:PT 65/35 3550 185 30 5 600 138
PMN:PZT 25:75 34.2 698 139
PbTiO
3
130 490 80 280 140
FE-HfO
2
HfO
2
: La 5% Pca2
1
27.7 1350 105
HfO
2
: Y 5.2% Fm3m 24 1200 73
HfO
2
: Al 4.8% 5 1000 53
HfO
2
: Si 4% 10 1000 75
Hf
0.5
Zr
0.5
O
2
Pbc2
1
16–17 1000 54,74
Organic (CHsNHsCOOH)
3
H
2
SO
4
(TGS)
36 P21 48 122
C
9
H
18
NO (Tanane) 6 Fdd2 14 141
DNP 18 226 142,143
PVDF 10–15 mm2 4.7 700 20–30 4,71,111
P(VDF-TrFE) 8 mm2 100 10 330–400 6,93
Nylon-7 5 8.6 790 17 7,115
Nylon-11 5 5.6 620 14 7
Nylon-6 1.8 940 114
Nylon-12 2.2 500 116
PLLA 9.6 200 110
Organic–inorganic
hybrid
[MeHdabco]RbI
3
11 P432 157 6.8 97.5 126
(C
4
H
9
NH
3
)
2
(MA)
2
Pb
3
Br
10
Cmc2
1
42 2.5 16 144
2D ferroelectrics CIPS 42 2.55 700 11
a-In
2
Se
3
17 R3m RT 0.92 200 145,146
SnS 17.5 Pnma RT 17.5 20 147
Applied Physics Reviews REVIEW scitation.org/journal/are
Appl. Phys. Rev. 10, 011310 (2023); doi: 10.1063/5.0090120 10, 011310-5
V
CAuthor(s) 2023
oxygen octahedrons along the c-axis owing to the stacking of oxygen
octahedrons. The remanent polarization of LiNbO
3
is as high as 70 lC
cm
2
, which is much larger than that of most other ferroelectric materi-
als. Furthermore, an extremely high Curie temperature (1210 C) ena-
bles LiNbO
3
to have great advantages in high temperature applications.
Pb(Zr
1x
Ti
x
)O
3
(PZT), a classic lead-based ferroelectric, shows excellent
piezoelectric performance with a large piezoelectric coefficient d
33
of
500 pC N
1
and has important application value in high-performance
drivers and sensors. With the change of component x, the crystal struc-
ture of PZT changes from tetragonal phase to the orthorhombic phase
and reaches the boundary of the two phases at x¼0:48, where the
polarization enhances on account of the coupling of the two phases,
resulting in an outstanding piezoelectric performance.
102
However, as a
lead-based ceramic, PZT is toxic and unfriendly to the environment,
thus increasing cost and risk in manufacturing. Therefore, research on
lead-free ferroelectric materials is crucial. Lead-free ferroelectric ceramics
mainly include NaBiTiO
3
(NBT)
103
and KNaNbO
3
(KNN),
104
which
are cleaner and safer for application, with comparable ferroelectric per-
formance to lead-based ceramics.
In recent years, HfO
2
has attracted much attention for its
remarkable performance, including high dielectric constant and fast
ferroelectric switching speed in ultrathin films (1 nm), and its high
compatibility with modern semiconductor processing. Undoped HfO
2
is often used as a gate oxide layer, which could naturally form an ultra-
thin SiO
x
layer as a buffer layer when in contact with a Si substrate. By
doping different elements, such as La, Y, Al, Si, and Zr,
53–55,105,106
HfO
2
forms a stable ferroelectric phase exhibiting ferroelectricity. The
Ecof doped HfO
2
is greater than 10
3
kV cm
1
, with a high remanent
polarization which is comparable with BTO. However, the mechanism
of ferroelectricity in HfO
2
film is still under discussion and needs to be
further investigated.
Representative organic ferroelectrics include PVDF and its
copolymers,
5,6,72,93
nylons,
7,107
small molecules,
108,109
and some bio-
polymers.
110
PVDF,
111
namely (CH
2
–CF
2
)
n
, and its copolymers, such
as P(VDF-TrFE),
72
are all polymer lms. As mentioned above, to
obtain PVDF with good ferroelectricity, it is necessary to increase the
content of the bphase. By optimizing the process parameters of prepa-
ration such as the annealing temperature and time, the crystallinity of
the bphase could be improved effectively. In addition, the introduc-
tion of multi-component polymers could improve the crystallinity and
stability of the ferroelectric phase, thereby improving the ferroelectric
performance of PVDF. For example, the introduction of trifluoroethy-
lene (TrFE) into PVDF to change the molecular chain structure of
PVDF results in P(VDF-TrFE) with a higher and more stable b-phase
content, which enables the polymer to have a more stable ferroelectric
performance.
Polyamide, i.e., nylon, is a polymeric material with recurring
amide (–CO–NH–) bonds in the repeating hydrocarbon units of the
polymer chain.
7,112,113
As an important class of ferroelectric polymers,
nylons, both odd- and even-numbered, have been reported to be ferro-
electric.
7,114
Early studies focused on odd-numbered nylons, such as
nylon 7 and nylon 11.
107
The ferroelectricity of odd-numbered nylons
was first reported by Newman et al.
7,107,115
The ferroelectricity of odd
nylon was considered an asymmetric polar crystal structure with
dipoles aligned in the same direction. In contrast, even nylon has a
nonpolar crystal structure and it was believed not to exhibit ferroelec-
tricity. However, some subsequent studies showed that even nylons,
such as nylon 6 and nylon 12,
114,116
also exhibit ferroelectricity, which
promoted the understanding of the origin of ferroelectricity in nylons.
It is now believed that the key to ferroelectricity in ferroelectric nylons
lies in the presence of mesophases with large chain spacing and disor-
dered hydrogen bonding. However, ferroelectricity retention of even
nylon is poor because the mesophase is sub-stable. In contrast, odd-
numbered nylons exhibit more stable remanent polarization compared
to PVDF-based polymers due to strong hydrogen bonding interac-
tions, making ferroelectric nylons exhibit great potential for FeFET
memory.
117
Many biopolymers have been reported to have thermoelectric or
piezoelectric properties, such as keratin, chitin, collagen, and PLLA
(poly-L-lactic acid).
118
In 1996, Inagaki et al. reported the ferroelectric-
ity of PLLA.
110
Like even-nylons, crystals of PLLA are nonpolar and
do not exhibit ferroelectricity at room temperature. However, at higher
temperature (>110 C), due to expanding chain spacing of PLLA,
dipoles could switch under an applied field, and thus, PLLA exhibits
ferroelectricity. PLLA has promising applications in orthopedics
because of its biodegradable properties.
Organic small molecule ferroelectrics include single-component
molecules and organic salts. The former comprises thiourea,
108,119
ketone acid,
120
and benzimidazole.
121
The ferroelectric properties of
thiourea molecular crystals were reported in 1956.
108
Thiourea is a
polar molecule, and ferroelectricity comes from the polarity induced
by the relative tilt of the molecule in the lattice. An early representative
of organic salts is triglycine sulfate (TGS). The ferroelectricity of TGS
and its isomorphous selenite was reported by Matthias et al.
109,122
The
Curie temperature of TGS is 48 C, which is slightly higher than room
temperature so that the device could work without cooling. TGS per-
formed as both substrate and gate dielectric in the first FeFET.
123
However, the process of TGS FeFETs is incompatible with Si process-
ing; thus, TGS was not further developed in FeFETs. A recently
reported organic salt is diisopropylamine with inorganic acids HCl or
HBr.
124,125
The ferroelectric phase transition originates from the
order–disorder transition of diisopropylamine cations. This series of
compounds exhibits a large saturation polarization and is easily pre-
pared, showing great application potential.
In recent years, another important class of ferroelectrics is organic–
inorganic halide perovskites, which could be generally described by
AMX
3
(A stands for organic positive ion, M stands for metal ion, and
X stands for anion). The first 3D organic–inorganic hybrid ferroelec-
tric perovskite [MeHdabco]RbI
3
[(3-ammoniopyrrolidinium)RbBr
3
]
was reported by Xiong et al. in 2017.
126
P
s
of [MeHdabco]RbI
3
is
6.8 lCcm
2
at room temperature. The Curie temperature of
[MeHdabco]RbI
3
is as high as 430 K, which greatly promotes the
practical application of molecular ferroelectrics since the Curie tem-
perature of most previously reported molecular ferroelectrics is below
room temperature. Although organic perovskites have the advantages
of low-temperature processing, low cost, and tunable bandgap,
organic perovskites have poor thermal stability, and some are easily
decomposed by oxidation or hydrolysis. 2D organic–inorganic perov-
skites with improved material stability over their 3D counterparts are
highly desirable for device applications. A 2D organic–inorganic
perovskite [2-FBA]
2
PbCl
4
was reported,
127
exhibiting a Curie tem-
perature up to 448 K and a polarization intensity of 5.35 lCcm
2
at
room temperature. However, the depolarization field has limited the
development of 2D organic–inorganic perovskites.
Applied Physics Reviews REVIEW scitation.org/journal/are
Appl. Phys. Rev. 10, 011310 (2023); doi: 10.1063/5.0090120 10, 011310-6
V
CAuthor(s) 2023
IV. STRUCTURES OF FERROELECTRIC FETs
FETs refer to semiconductor devices utilizing electric field effect
to control output characteristics. FETs are unipolar transistors since
they only rely on majority carriers to work, and there are mainly two
types: junction FETs (JFETs) and insulated gate FETs (or metal-oxide-
semiconductor FETs, MOSFETs). Here, the discussion of voltage
polarity is based on n-type channel devices. The conductivity of the
JFET is modulated by the depletion layer width under the gate, and
the junction bias cannot be larger than the flatband voltage, otherwise
additional gate current will be generated. JFET could only operate in
depletion mode with a negative threshold voltage. The conducting
channel of the JFET generates a gate–source voltage larger than the
threshold voltage. In MOSFET, the conductivity of the device is regu-
lated by the charge carriers induced in the channel. MOSFET could
operate in both enhancement mode and depletion mode, so its thresh-
oldvoltagecouldbepositiveornegative.Thedielectriclayerin
MOSFET is used for isolation between gate and source, so that
MOSFET could provide higher input impedance and lower gate leak-
age current compared with JFET. Hence, MOSFETs have been widely
used in large-scale and very large-scale integrated circuits.
In a FeFET, ferroelectric material is usually designed as a gate
dielectric layer. Because the ferroelectric local field arising from sponta-
neous polarization has an impact on the semiconductor carrier, the
characteristics of FeFET are relevant to the ferroelectric layer.
Structures of FeFET could be roughly divided into three types depend-
ing on the method of ferroelectric local field induction as follows. (1)
Metal-ferroelectric-semiconductor (MFS) FETs [as shown in Fig. 3(a)].
An easy and direct method is replacing the gate dielectric of FET with
ferroelectric material. The advantage of this method is that the structure
of the device is simple and it is easy to investigate the effect of the ferro-
electric field. However, an unperfect ferroelectric/semiconductor inter-
face brings issues such as interface chemical reaction and electric
doping and other possible effects between material interfaces may cause
depolarization and performance degradation. (2) Metal-ferroelectric-
insulator-semiconductor (MFIS) FETs [as shown in Fig. 3(b)]. To
overcome the disadvantage of method (1), a gate stack structure is fab-
ricated by adding a ferroelectric dielectric layer to the original gate
structure of the FET, thus avoiding direct contact between the ferro-
electric material and the semiconductor. However, in MFIS structures,
an inappropriate insulator layer could bring the issue of depolarization,
resulting in degraded data retention performance. It is mainly caused
by the insulator layer in the MFIS structure. Due to the low dielectric
constant of the insulator, the voltage drop across the insulator layer is
high, which causes charge trapping and affects the durability of FeFET.
For this reason, a careful gate stack design is required to allow as much
voltage as possible to fall on the ferroelectric layer. Using high-k dielec-
tric as an insulator layer to reduce the large electric field induced by the
ferroelectric polarization field could improve the data retention perfor-
mance of FeFET.
58,148,149
In addition, avoiding the ferroelectric/insula-
tor interface is also a solution, such as using an MFS structure with an
oxide semiconductor channel.
150
(3) Metal-ferroelectric-metal-insula-
tor-semiconductor (MFMIS) FETs [as shown in Fig. 3(b)]. Another
method is connecting a ferroelectric capacitor in series to a FET, which
is also a potential way to avoid depolarization and degradation. The
ferroelectric capacitor could be integrated with the FET or externally
connected. An external connection could avoid the issue of lattice
FIG. 3. Schematics of different structures of FeFETs. (a) A metal-ferroelectric-semiconductor (MFS) FET, where the ferroelectric district contacts with the semiconductor. (b) A
metal-ferroelectric-insulator-semiconductor (MFIS) FET with a carefully designed insulator layer induced to improve performance of the FeFET. (c) Metal-ferroelectric-metal-
insulator-semiconductor (MFMIS) FETs, which can be considered as a ferroelectric capacitor connecting in series to a FET. The ferroelectric capacitor can be integrated with
the FET or externally connected. (d) A fin-FeFET, where the “fin” gate is a ferroelectric gate. (e) A gate-all-around FeFET with semiconductor channel surrounded by a gate
stack of ferroelectric and dielectric. (f) A dual-gate FeFET with a ferroelectric gate providing function and a non-ferroelectric control gate setting the threshold voltage.
Applied Physics Reviews REVIEW scitation.org/journal/are
Appl. Phys. Rev. 10, 011310 (2023); doi: 10.1063/5.0090120 10, 011310-7
V
CAuthor(s) 2023
mismatch, offering more choices of materials in device design. The
introduction of a ferroelectric local field has good flexibility and feasi-
bility in different device structures for various purposes. The details of
performance improvement and applications of FeFETs will be dis-
cussed in Sec. V. With the development of 3D architecture chips, fin-
FeFET and gate-all-around FeFET have been demonstrated.
27,89,151
Unlike planar FET, the semiconductor channel in a fin-FeFET or a
gate-all-around FeFET is surrounded by gate dielectric [as Figs. 3(a)
and 3(e) show], thereby control capability of gate enhanced and short
channel effect suppressed. To modulate channel carriers more pre-
cisely, dual-gate FeFET has been demonstrated. De Leeuw et al.
reported the results of a dual-gate FeFET.
152
In a dual-gate FeFET, a
ferroelectric gate provides the storage function and a non-ferroelectric
controlgateisusedtosetthethresholdvoltage[asshowninFig. 3(f)].
Hence, the operating bias of the FeFET could be set precisely and the
on/off ratio could be controlled and optimized.
V. FeFETS FOR ELECTRONIC APPLICATIONS
A. Memory
Different polarization states enable ferroelectrics to have infor-
mation storage capability. In fundamental circumstances, the upward
polarization state and downward polarization state of ferroelectrics
could be utilized to represent binary “0” and “1” in computers, which
inspired the development of memory based on ferroelectric capacitors.
Consequently, ferroelectric random-access memory (FRAM) based on
1T1C architecture was proposed. In 1993, the company RAMTRON
successfully developed the first FRAM products.
153
Thereafter, some
major semiconductor companies, such as Fujitsu, Infineon, ROHM,
and Texas Instruments, also joined the development and application
of this technology. The mass-produced 4Mbit FRAM with a high
operating temperature of 125 C was reported by Fujitsu in 2021,
154
which is optimal for industrial robots and automotive applications.
Infineon provides six FRAM product series for different application
scenarios, including low-pin-count high-performance, and low-power
consumption applications.
155
Naturally, ferroelectric memory is non-
volatile for ferroelectric remanent polarization. However, for
capacitor-based ferroelectric memory, readout operation is destructive.
If the readout voltage applied on the capacitor is in the opposite direc-
tion of the polarization direction, the capacitor will discharge and the
polarization will switch, causing the loss of data. The idea of FET-
based 1T-type ferroelectric memory was proposed in 1957.
156
Compared with capacitor-based memory, FET-based memory has the
advantage of nondestructive readout. A FeFET-based memory device
receives pulses below the coercive voltage and readout drain currents
without memorized polarization changes. In addition, FET-based
memory has advantages in scaling down, since the output of FET is
related to charge density instead of quantity. The 1T-type FRAM is
one of the most promising candidates for high-volume nonvolatile
memory, and the size of the 1T-type FRAM could be smaller than
dynamic RAM (DRAM). Considering that the remanent polarization
of ferroelectrics is dependent on both the amplification and sequence
of the applied voltage, multi-bit memories could be achieved with
FeFET. Multi-bit FeFET memories with various structures have been
reported, such as MFS organic FeFET,
157,158
vertical-channel organic
FeFET,
159
and 2D graphene FeFET.
160
However, FeFET memories have not developed as rapidly as
capacitor-based memories at the early stage. The main limitation is the
compatibility of the deposition of the ferroelectric film with Si process-
ing. Taking the classic ferroelectric material PZT as an example, when
deposited on Si, an unideal interface diffusion layer forms between
PZT and Si due to volatile Pb, leading to an unwanted voltage drop at
the interface layer.
152,161
As a consequence, the voltage applied to
polarize the ferroelectric layer has to be increased, and the diffusion
layer dielectric, which tends to have a lower dielectric constant, could
potentially break down. A common solution for this problem is intro-
ducing an oxide dielectric layer between the ferroelectric layer and the
semiconductor layer to improve interface quality,
58,149,162
which brings
additional cost. The opportunity for inorganic FeFETs comes with the
discovery of ferroelectric HfO
2
due to the process compatibility of
HfO
2
with modern CMOS processing. Another interesting discovery
of ferroelectric HfO
2
is that enhanced ferroelectricity is observed with
decreasing layer thickness, which is unusual and opposite to most
other ferroelectrics.
163
Technology of HfO
2
-based FeFET integrated
into 22 and 12 nm fully depleted silicon on insulator (FDSOI) CMOS
platforms was developed in 2017,
164
which meets the requirements of
advanced integrated circuits. A cross-sectional TEM image of the inte-
grated FeFET is given in Fig. 4(a). The system-on-a-chip (SoC)
embedded technology is also suitable for standard logic devices, such
as p-FET and n-FET. Transfer characteristics in Fig. 4(b) exhibit polar-
ization switching behavior with a large memory window of 1.5 V. The
device supports write/erase cycles as much as 10
5
and high tempera-
ture retention of up to 300 C. Figure 4(c) compares the physical gate
length of reported FeFETs, which proves the scalability of different
FeFETs. As shown in the figure, ferroelectric HfO
2
(FE-HfO
2
)shows
outstanding performance in scaling demands, whereas this is difficult
for classic perovskite ferroelectrics and organic ferroelectrics to
achieve. The mechanism of ferroelectricity in HfO
2
is still being
researched and different options have been proposed to explain the
unusual behaviors of ferroelectric HfO
2
. Recently, research on the ori-
gin of ferroelectricity in HfO
2
indicates that the ferroelectricity of
HfO
2
may be scale-free,
56
which means that the scalability of HfO
2
-
based FeFETs has the possibility of being unlimited. The effect of insu-
lator/ferroelectric interfaces has been demonstrated.
165,166
In MFIS
structures, depolarization fields are often generated, resulting in
degraded data retention performance. A possible solution to avoid the
insulator layer in MFIS structures is a FeFET based on an inorganic
oxide semiconductor channel since oxide semiconductors are more
robust to oxidation environments than Si. Lee et al. reported a FeFET
based on amorphous indium gallium zinc oxide (a-IGZO) with
P(VDF-TrFE) as the ferroelectric gate.
150
Owing to the low-
temperature deposition process of P(VDF-TrFE), the a-IGZO semi-
conductor channel is at a low-damaging ambient, which improves the
reliability of the device.
Organic FeFETs have attracted much attention for flexible inte-
grated circuit applications,
47,86,167–171
due to their low cost and com-
patible processing. Organic FeFET memories based on the solution
process have been fabricated and investigated, showing a sharp ferro-
electric/semiconductor interface without intermixing and defects.
172
The schematic of the device structure is shown in the inset of Fig. 4(d),
with the ferroelectric polymer P(VDF-TrFE) as the bottom gate and
poly[2-methoxy, 5-(20-ethyl-hexyloxy)-p-phenylene-vinylene] (MEH-
PPV) as p-type semiconductor channel. A low coercive voltage of the
ferroelectric layer has been confirmed via the P(VDF/TrFE) polymer
capacitor. With the thickness of the ferroelectric layer being reduced,
Applied Physics Reviews REVIEW scitation.org/journal/are
Appl. Phys. Rev. 10, 011310 (2023); doi: 10.1063/5.0090120 10, 011310-8
V
CAuthor(s) 2023
the coercive voltage decreases to only 5 V with maintained ferroelectric-
ity, which means that devices based on P(VDF/TrFE) have the capability
of meeting the requirements of programmable circuit applications. A
reference FET was fabricated to investigate the characteristics of MEH-
PPV, showing field-effect mobility of 2.4 10
4
cm
2
V
1
s
1
at room
temperature. The transfer characteristics of the FeFET are given in
Fig. 4(a),withahighon/offratioofover10
3
and an improved field-
effect m obility of 1 .3 10
3
cm
2
V
1
s
1
. The high on/off ratio and
high field-effect mobility are owing to large surface charge density, which
is only achievable with ferroelectric dielectrics or high-permittivity
dielectrics for their better dielectric shield effect compared to SiO
2
dielec-
tric layer. The feasibility of the polymer FeFET for memory applications
has been confirmed. The retention and endurance characteristics are
given in Figs. 4(e) and 4(f), showing a stable on/off ratio after a week.
With the programming cycles increasing, the on/off ratio decreases due
to the reduction of the on-current, which could be improved with a
short programming pulse width. Note that, although a low operation
voltageiscalculatedandsupposedtobeattainable,theoperationvoltage
in this work is as high as 77.5 V, which is unacceptable for integrated cir-
cuit applications. Since then, efforts on processing and deposition have
been made to reduce the operating voltage of organic FeFETs.
173,174
An
interesting organic FeFET memory with low operation voltage was
reported by Alshareef et al.,
175
which is the first polymer ferroelectric
memory fabricated on banknotes. The operating voltage of the memory
is as low as 4 V, which is attributed to the high-quality growth of
P(VDF-TrFE) thin film with a thickness of 140 nm. Or ganic FeFETs
have advantages for flexible memory applications and could be utilized
in all-organic flexible circuits.
176
An organic ferroelectrochemical
FIG. 4. Nonvolatile memories based on FeFETs. [(a)–(c)] Schematic and performance of a FeFET memory based on 22 nm FDSOI technologies.
164
Reproduced with permis-
sion from D
unkel et al., paper presented at the 2017 IEEE International Electron Devices Meeting (IEDM) (2017). Copyright 2017 IEEE. (a) A cross-sectional TEM image of
embedded FeFET memory integrated into the 22 nm FDSOI platform. (b) Transfer characteristics of the device with low- and high-V
T
(63.8 V, 10 ls) with a 1.5 V memory win-
dow. (c) Physical gate length scaling of FeFET compared to the eNVM logic platforms. [(d)–(f)] Schematic and programming characteristics of a polymer FeFET memory.
172
Reproduced with permission from Naber et al., Nat. Mater. 4(3), 243 (2005). Copyright 2015 Springer Nature. (d) Transfer characteristics and gate current of a polymer ferro-
electric FET, where the thickness of the ferroelectric layer is 1.7 lm. Inset: schematic of the FeFET with P(VDF-TrFE) as bottom gate and MEH-PPV as p-type semiconductor
channel. (e) Data retention characteristics measured by programming the on- or off-state once and monitoring the drain current at zero gate bias for a week. Both states were
attained with a gate voltage of 677.5 V. (f) The on- and off-state drain current at zero gate bias as a function of the number of applied gate voltage. [(g) and (h)] Schematic
and performance of a 2D FeFET memory based on MoSe
2
.
180
(g) Schematic of the 2D FeFET with MoSe
2
as the channel. (h) Transfer characteristics of the 2D FeFET with
different V
sd
. (i) Retention performance of the FeFET memory with no degradation after 2000 s.
Applied Physics Reviews REVIEW scitation.org/journal/are
Appl. Phys. Rev. 10, 011310 (2023); doi: 10.1063/5.0090120 10, 011310-9
V
CAuthor(s) 2023
transistor array was demonstrated by Fabiano et al.,
177
exhibiting mem-
ory functionality and addressability. Holst Centre reported 128-bit
organic transponder chips for radio frequency identification tags.
178
Combined with other properties of ferroelectrics, such as piezoelectricity
and pyroelectricity, organic FeFETs exhibit potential in intelligent
healthy monitoring and bionic applications.
FeFETs in organic/inorganic hybrid systems have also been demon-
strated. A common structure is to use a combination of organic ferroelec-
tric and Si materials, thus avoiding the ferroelectric/semiconductor
interfacial issues in Si-based FeFETs. 1T-type ferroelectric memory
using an organic ferroelectric gate and a directly integrated Si semicon-
ductor was first reported by Han and Park.
179
The operation voltage of
the device is below 1 V. Since the device avoids an insulator layer
between ferroelectric and semiconductor, performance degradation
caused by depolarization fields in MFIS structure is improved.
With the development of low-dimensional materials, low-
dimensional FeFET memories have gained significant attention. Table
II summarizes the performance of memories based on FeFETs with
various ferroelectric gates and low-dimensional semiconductor chan-
nels. Two-dimensional materials with an atomically flat surface with-
out dangling bonds could be utilized to attain high-quality
ferroelectric/semiconductor interfaces. As shown in Fig. 4(g),2D
FeFET memory based on MoSe
2
has been demonstrated,
180
showing a
high on/off ratio of over 10
5
with a single-layer MoSe
2
.Thetransfer
characteristics in Fig. 4(h) show that even at a very small source–drain
voltage, an on/off ratio exceeding 10
4
and a large memory window of
around 20 V are attained. A large memory window with a s mall read
voltage is important for memory to avoid signal interference in arrays
since a read voltage comparable with the write/erase voltage may cause
a state change of adjacent memories. A carrier mobility improvement
is found in 2D FeFETs compared with SiO
2
-gate 2D FETs, owing to
the better dielectric shield effect of the ferroelectric gate. Although
instability is common for single-layer 2D materials, it could be
improved by covering the channel with high-quality dielectric. As
shown in Fig. 4(i), with P(VDF-TrFE) as the top gate, the memory
shows excellent, robust performance with a long retention time of over
210
3
s and endurance of write/erase cycles of over 10
4
.FeFETswith
various MoSe
2
thicknesses were also investigated and compared,
exhibiting degraded performance with thickness increase. The out-
standing performance of the single-layer MoSe
2
FeFET is attributed to
the enhanced regulation ability of the ferroelectric gate due to the
dimension reduction of the semiconductor. Similarly, enhanced per-
formance is also found in other low-dimensional FeFET memo-
ries.
62,85,88,181–183
As reported, a ferroelectric field could set the
conductivity of graphene as different states for multi-level memories,
and ferroelectric hysteresis can expand the memory window from a
few volts to 5–30 V,
62,84,85,181,184,185
thereby obtaining high-
performance nonvolatile memories. The mechanism of resistance hys-
teresis of the graphene/PZT structure was analyzed by Hong et al.,
83
and it is believed that the reason for resistance hysteresis is the
dynamic dissociation and compound of water molecules chemically
adsorbed on the surface of PZT lm. A 2D FeFET memory array was
fabricated by Hwang et al. in 2013.
173,181
The device uses a metal-fer-
roelectric-graphene (MFG) structure, achieving a high programming
speed and good stability. The 4 4 MFG integrated array is tested
for writing and reading data, proving the feasibility of the MFG
memory array. A black phosphorus (BP)-based memory fabricated
with P(VDF-TrFE) as the top gate was reported.
175,183
Its storage win-
dow is greater than 15 V, whereby the hole mobility could reach
563 cm
2
V
1
s
1
at room temperature in the ambient atmosphere. As
a p-type FET, BP FET could be combined with a common n-type 2D
semiconductor FET to form a complementary inverter structure,
which is beneficial for subsequent circuit design applications. Other
low-dimensional memories have also been demonstrated. A nonvola-
tile memory based on a ferroelectric polymer nanodot gate and single-
walled carbon nanotubes was reported by Son et al.
90
The P(VDF-
TrFE) nanodot on the carbon nanotube is deposited by drop coating
and drying. By controlling the polarization state of nanodots, the states
of the FET are divided into low resistance state (LRS) and high resis-
tance state (HRS) according to the channel conductivity. As reported,
the LRS and HRS are stable at zero bias for more than 10
8
swithafer-
roelectric remanent polarization field.
In summary, 1T-type ferroelectric memory shows great applica-
tion potential in mass memory; however, a commercial product has
not yet been developed due to the incompatibility with Si processing
and ferroelectric/semiconductor interface issues. Ferroelectric HfO
2
shows potential applications in highly integrated FeFETs due to its
special free-scale ferroelectric and compatibility with Si processing.
However, many issues remain to be solved, such as depolarization and
ferroelectric fatigue. Organic FeFET memory is promising in flexible
electronic applications; however, due to the wet preparation process of
the organic film, organic ferroelectric memory is limited in large-scale
high-density integration. The organic–inorganic hybrid system also
has the issue of incompatibility between the organic ferroelectric thin
film and Si processing; hence, it is difficult to achieve large-scale inte-
gration. Owing to the van der Waals interface, low-dimensional
FeFET memory appears to be a promising solution for highly inte-
grated ferroelectric memory. In order to realize the high-density large-
scale ferroelectric memory array, high-quality and large-area synthesis
of 2D materials is an issue that remains to be solved. Another solution
TABLE II. Performance summary of some reported FeFET memories based on low-dimensional semiconductor channel materials.
Ferroelectric material Channel material Non-FeFET FeFET Ref.
P(VDF-TrFE) MoSe
2
Small hysteresis l¼1.3 cm
2
V
1
s
1
30 V memory window l¼4.07 cm
2
V
1
s
1
180
P(VDF-TrFE) bP / 15 V memory window l¼563 cm
2
V
1
s
1
182
P(VDF-TrFE) Graphene l5200 cm
2
V
1
s
1
R
max
¼1.2 kXl1210 cm
2
V
1
s
1
R
max
¼2.4 kX185
P(VDF-TrFE) Few-layer graphene dR/R 0dR/R >5% 184
P(VDF-TrFE) nanodot Carbon nanotube / 4 V memory window l¼150 cm
2
V
1
s
1
90
PVP ZnO nanowire Small hysteresis 5 V memory window 187
Applied Physics Reviews REVIEW scitation.org/journal/are
Appl. Phys. Rev. 10, 011310 (2023); doi: 10.1063/5.0090120 10, 011310-10
V
CAuthor(s) 2023
for high-density ferroelectric memory is a two-terminal device, like a
memory based on phase-separated ferroelectric/semiconductor
blends.
186
A two-terminal device is expected to achieve a higher inte-
gration density, while the injected barrier needs to be carefully
designed to avoid crosstalk issues.
B. Memristive devices
In a computer with a von Neumann architecture, memory and
computing are separated, which limits processing speed and causes a
performance bottleneck of modern computers. Recently, ANNs, which
simulate structures and computation modules of a human brain, have
gained much attention for great potential to break the bottleneck of
von Neumann computers. In neural networks, memory and computing
could be implemented together in neurons, giving neural networks the
capability of distributed parallel information processing, so that data
processing of neural networks could be very efficient. A necessary com-
ponent of neural networks is the synapse, which gives neural networks
adaptive-learning function so that learning experience could be stored
for future reference. In ANNs, artificial synapses could be simulated by
nonvolatile memories with modifiable weights. A possible candidate to
realize artificial synapse is a memristor, whose conductance is related to
current history. For the training of large-scale ANNs, time and power
consumption are serious limitations. To accelerate training speed of
ANNs, some requirements of memristors are proposed, such as nano-
second programming pulses and symmetric conductance states over
5 bits with a maximum conductance of one order of magnitude higher
than the minimum conductance.
188,189
Various devices have been pro-
posed to realize memristive devices, including phase change memory
(PCM),
190,191
resistance random-access memory (RRAM),
192–194
floating-gate MOSs,
195
and FeFETs.
18,37,196
Among other hardware sol-
utions such as RRAM and PCM, FeFETs are significantly attractive for
steep switching between on-state and off-state, and different states
which could be clearly distinguished.
The idea of utilizing FeFETs to realize ANNs was proposed by
Ishigawa in 1992.
79,197
As shown in Fig. 5(a), the FeFET memory array
is designed as fully connective between two adjacent layers to simulate
connections between synapses and neurons in neural networks. Here,
neuron circuits designed as optically connected and electrically con-
nected are both given in Fig. 5(b) and compared, with an easier design
for optical connection and an easier fabrication for electrical connection.
The synapse array is given in Fig. 5(c), where npn-structure Si stripes
are covered with a ferroelectric gate. The packing density of synapses
could be very high since there is no via-hole across the ferroelectric layer.
Furthermore, in this circuit, Si stripes are isolated from one another, and
bottom-gate voltage could be applied independently so that the weight
of synapses could be modified based on the Hebbian rule, which is a
well-known learning rule of the human brain. In 2008, a memory cell
with memristive characteristics based on TiO
2
was reported by HP,
198
which aroused interest in memristive devices. Subsequently, different
memristive devices, such as MFMIS FET,
199
fully transparent thin-film
FETs,
187,196
and 2D FETs,
17,37
were fabricated and investigated.
An HZO-based FeFET analog synapse for deep neural networks
was demonstrated in 2017.
200
A structure schematic and an SEM image
of the FeFET are shown in Fig. 5(d). An on/off ratio of over 10 is
achieved, confirming the regulation capability of a 10-nm-thick ultra-
thin HZO film. The effect of pulse schemes on channel conductance G
was investigated, as shown in Fig. 5(e).Withaconstant-amplitude
pulse such as in Schemes 1 and 2, only 20 different states are available,
which cannot meet the requirements of deep neural networks. With an
optimized pulse sequence such as in Scheme 3, 32 valid states with
nearly ideal symmetry between potentiation and depression are
attained. In Scheme 3, an optimized on/off ratio G
max
/G
min
¼45 is
achieved. The performance of ANNs based on the reported FeFET syn-
apses was estimated, exhibiting a high learning accuracy of 90% and a
low leakage power of 35.29 lW. Although the processing speed and
power consumption of online learning remain to be improved com-
pared with 6-bit SRAM, a ten times reduction in the area of FeFET syn-
aptic cores suggests the great potential of HfO
2
-based FeFET in
artificial intelligence applications.
Since the discovery of 2D ferroelectric materials, in-plane polari-
zation of ferroelectrics has revived increasing attention. On account of
symmetry breaking in low-dimensional systems, many different mate-
rials, such as MoS
2
(Refs. 201 and 202) and CIPS,
11,13
have been pre-
dicted and reported to have in-plane or out-of-plane ferroelectricity,
or both. One representative 2D ferroelectric is a-In
2
Se
3
, which has
been utilized to develop multidirection-switchable memristive devi-
ces.
37
In-plane ferroelectricity is more worthy of attention since typical
ferroelectric memristive devices are vertical devices that could only
achieve out-of-plane polarization switching. A schematic of the planar
FeFET memristive device is shown in Fig. 5(f), with ferroelectric a-
In
2
Se
3
as the semiconductor channel and SiO
2
as the back gate. As
shown in Fig. 5(g), hysteresis loops with source–drain voltage sweep-
ing indicate in-plane ferroelectricity of a-In
2
Se
3
,wheresweepitoii
from negative V
drain
to 0 V shows switching from LRS to HRS, with a
high on/off ratio of over 10
3
, similar to sweep iii to iv. It is noticeable
that the vertical electric field applied by the back gate also has an
impact on in-plane polarization. As shown in Fig. 5(h),draincurrent
changes from HRS to LRS with gate voltage changing from 40 to
þ40 V, suggesting in-plane polarization switching. A vertical memris-
tive device has also been investigated, showing a comparable on/off
ratio to that of the planar structure. A multidirectional memristive
device has been demonstrated, which has two programming modes:
the in-plane mode and the out-of-plane mode. Strikingly, the planar
a-In
2
Se
3
FeFET exhibits sensitive photoresponse with switchable pho-
tocurrent, indicating the potential for novel optical memory with opti-
cal writing and electrical reading.
Anidealmemristivedeviceshouldhaveaprogressivemodulation
of conductance, a dynamic range of 8–100, high endurance (>10
9
cycles), low power operation (<10 pJ), and long retention
(>10 yr).
189,203,204
FeFET-based memristive devices are expected to be
well suited for future neuromorphic systems in nonvolatile memory
array configurations and embedded smart transparent electronic
applications. FeFET allows writing into the high resistance gate with
low power consumption while reading from the Ohmic channel. Over
1000 precisely adjustable states have been demonstrated in 2D
FeFETs, while endurance is far below the required 10
9
cycles.
20
To
solve the endurance issue, a deep understanding of the mechanism of
memristive behavior in ferroelectrics is required as well as further
study of the aging effects in 2D FeFETs.
C. Low power consumption devices
To find an alternative to sub-5 nm technology node, a steep slope
device with sub-60 mV/dec sub-threshold slope (SS) is a promising
proposal. Tunnel FETs could achieve SS below 60 mV/dec using
Applied Physics Reviews REVIEW scitation.org/journal/are
Appl. Phys. Rev. 10, 011310 (2023); doi: 10.1063/5.0090120 10, 011310-11
V
CAuthor(s) 2023
a band-to-band tunneling mechanism rather than a thermionic emis-
sion process.
205
Unfortunately, the application of tunnel FETs is lim-
ited for low on-state drive current. Nanoelectromechanical (NEM)
relays take advantage of the mechanical connection between the chan-
nel and sou rce/drain to achieve sub-60 mV/dec SS.
206
However, NEM
relays have an intrinsic low switching speed due to mechanical motion.
Negative capacitance (NC) FETs have both high on-state current and
high switching speed, which is a possible alternative to the CMOS logic
technology platform. NC phenomenon means that capacitance shows
a negative value under a certain range of voltage or signal frequency.
Ferroelectric NC was proposed in 2008 and implemented in FeFET to
break Boltzmann’s tyranny, achieving near-ideal switching perfor-
mance.
81
NC in ferroelectrics occurs at a metastable state during ferro-
electric polarization switching. A direct observation of ferroelectric
negative capacitance in capacitance structures was reported in 2014.
24
Switching performance comparisons of previously reported memris-
tive devices based on non-FeFETs and FeFETs are given in Table III,
showing a significant improvement of SS.
By connecting a capacitor in series with a ferroelectric capacitor,
the negative ferroelectric capacitance could be stabilized and utilized.
FIG. 5. Memristive devices based on FeFETs. [(a)–(c)] Schematic of artificial neural networks based on FeFETs. (a) Schematic of a two-layered neural network.
197
(b)
Optically (top panel) and electrically (bottom panel) connected neural network circuits with excitatory and inhibitory synapses. (c) Cross section (top panel) and top view (bot-
tom panel) of a synapse array based on FeFETs. [(d) and (e)] Structure and performance of a synapse based on HZO FeFET.
200
Reproduced with permission from Jerry
et al., paper presented at the 2017 IEEE International Electron Devices Meeting (IEDM) (2017). Copyright 2017 IEEE. (d) Structure schematic (top panel) and SEM image (bot-
tom panel) of a FeFET synapse with HZO/SiO
2
gate stack. (e) Channel conductance of the FeFET as a function of pulse number with various pulse schemes. [(f)–(h)]
Structures and characteristics of a multidirectional memristive device based on a-In
2
Se
3
.
37
Reproduced with permission from Xue et al., Adv. Mater. 31(29), e1901300 (2019).
Copyright 2019 John Wiley and Sons. (f) Structures of a vertical memristive device array (top panel) and a planar memristive device (bottom panel). (g) Hysteresis loops of a
planar memristive device with various V
drain
sweeping. (h) Transfer characteristics of the planar memristive device.
Applied Physics Reviews REVIEW scitation.org/journal/are
Appl. Phys. Rev. 10, 011310 (2023); doi: 10.1063/5.0090120 10, 011310-12
V
CAuthor(s) 2023
It was confirmed for the first time that introducing a P(VDF-TrFE)
layer into a standard MOSFET could achieve SS as low as 13 mV/dec at
room temperature.
207
However, the process incompatibility between
ferroelectrics and Si remains an issue. By optimizing gate stacks and
FET structures, the influence of the interface reaction could be mini-
mized to obtain a more outstanding NCFET. Another issue of NC
FeFETs for logic circuit applications is unwanted hysteretic behavior,
which is attributed to the intrinsic properties of ferroelectric materials.
To solve this problem, the capacitance value of a capacitor connected
in series with the ferroelectric capacitor needs to be carefully designed
to satisfy a capacitance-matching condition, so that the total energy of
the series-connected capacitors could be stabilized. Figures 6(a) and
6(b) show a hysteresis-free NCFET based on a “dielectric capacitor
þferroelectric capacitor, in series” structure.
208
The ferroelectric capac-
itor is composed of an ultrathin P(VDF-TrFE) film with a thickness of
16 nm, an 80-nm-thick TiN as a bottom electrode, and Au as a top
electrode. The ferroelectric capacitor is connected in series of a standard
p-type planar MOSFET. As shown in Fig. 6(c), the SS of the standard
MOSFET is 110 mV/dec, while with the ferroelectric NC effect, the SS
of the device is reduced to below 60mV/dec at room temperature. The
drain-source voltage dependency of hysteresis behavior in NCFET has
also been reported for the first time. However, in this work, no quanti-
tative model was proposed to explain the phenomenon.
It has been confirmed that with the ferroelectric NC effect, the SS
of a tunnel FET could be further reduced for over 3 orders of magni-
tude.
205
As shown in Fig. 6(d), the ferroelectric tunnel FET is based on
epitaxial Ge. Here, PZT is utilized as a ferroelectric layer in gate stacks
to introduce the ferroelectric NC effect. As shown in Fig. 6(e),voltage
amplification resulting from NC effect could extend the bending satu-
ration of surface potential, so that SS of the tunnel FET could be fur-
ther reduced. The experimental results are shown in Fig. 6(f),
exhibiting improved SS with a decrease in 3.5 orders of magnitude.
As estimated based on the 16/14 nm technology node, the power sup-
ply voltage of the tunnel FET with NC effect is expected to be reduced
from 0.86 to 0.83V. The investigation confirmed the potential of ferro-
electricNCforlowpowerconsumptiondeviceswithpowersupply
voltage below 0.5V in the future. In 2015, a ferroelectric NC tunnel
FET was demonstrated,
82
showing nearly ideal switching performance
with 0.5mV/dec SS. The improvement of SS is attributed to the cou-
pling of the ferroelectric gate to the tunnel junction, and positive feed-
back to Vgs . Nowadays, NC FeFETs with other structures, such as
MOSFETs
207
and FinFETs,
27,151,209
have been demonstrated.
With 2D semiconductors being explored extensively, 2D-based
NCFETs have been demonstrated, showing immunity against the
short channel effect and potential for low power consumption applica-
tions. Figure 6(g) shows a ferroelectric NCFET based on few-layer
MoS
2
.
210
The gate stacks are composed of ferroelectric HZO with a
thickness of 20 and 2nm dielectric Al
2
O
3
for capacitance matching.
Owing to the ferroelectricity and Si-processing compatibility of HZO,
theequivalentoxidethickness(EOT)couldbescaleddown.Thetrans-
fer characteristics of the ferroelectric NCFET at room temperature are
shown in Fig. 6(h), exhibiting a steep SS with near-free hysteresis. The
estimated SS of the device is given in Fig. 6(i). Compared with a MoS
2
FET f abricated on a 20 nm Al
2
O
3
dielectric layer, SS of the NCFET is
reduced from 80–90 to 52.3mV/dec of the forward sweep and
57.6mV/dec of the reverse sweep. As reported, the maximum accept-
able drain current of the device is 510 lAlm
1
, which is around five
times larger than that of devices with 90nm SiO
2
asthedielectriclayer.
Although on-state performance is improved, the self-heating effect
due to large channel current may become an issue, which limits appli-
cations of ferroelectric 2D NCFETs.
Recently, the NC effect was found in an ultrathin ferroelectric
HZO layer of 1.8 n m,
211
which is comparable to that of most advanced
transistors with a HfO
2
dielectric layer. This discovery shows the great
application potential of NC FeFETs in advancing function circuits.
However, a model based on the Landau–Khalatnikov equation points
out that, although the switching performance of NC FeFET could be
superexcellent, it is still unsuitable for high-frequency circuits.
212
As
reported, voltage amplification of NC FeFETs is negatively related to
the frequency and is reduced to less than 1 at 10
8
Hz, determined by
the viscosity coefficient of the ferroelectric. To meet the operation
requirement of GHz frequency, a ferroelectric material with a viscosity
coefficient below 0.1 Xm needs to be found. Furthermore, an ideal
logic circuit requires switching with no hysteresis, which is the key
issue to be solved in NC FeFETs. A recent report suggests that consid-
ering optimized channel capacitance in modern CMOS technology, SS
reduction with no hysteresis in NC FeFET seems to be very limited.
213
Fortunately, NC FeFETs still make sense for low-power consumption
devices with overdrive voltage reduction. It is worth noting that most
reported NC devices are based on FE-HfO
2
, while the ferroelectric ori-
gin and polarization switching model of FE-HfO
2
and other related
models have not been accurately described.
214
Grain boundaries in
HfO
2
thin film bring issues such as charge trapping and defects. This
is an important reason for the mismatch between experimental results
and the theoretical prediction of NC. To further develop NC-FeFETs,
more studies of FE-HfO
2
are urgently needed.
215
Accurate models
need to be built to distinguish intrinsic and non-intrinsic effects in NC
devices and measurements and guide the design of NC devices. At the
same time, exploration of emerging ferroelectric materials, such as
multi-ferroelectrics and 2D ferroelectrics,
216
create new opportunities
for future possibilities of ferroelectric NC effect in integrated electronic
devices. As shown in Table III, NC FeFETs based on inorganic ferro-
electric and 2D semiconductor channels appear to exhibit more
outstanding switching performance, which is mainly attributed to
high-quality van der Waals interfaces.
TABLE III. Switching performance comparison of some reported memristive devices
based on non-FeFETs and FeFETs.
SS (mV/dec)
FeFETFerroelectric material
Channel
material Non-FeFET Ref.
Organic P(VDF-TrFE) Si >60 18 207
P(VDF-TrFE) Si 110 48 208
P(VDF-TrFE) MoS
2
113 11.7 38
Inorganic BiFeO
3
Si 62 8.5–50 151
PZT Si 105 6.8 27
BaTiO
3
AlGaN/GaN 145.6 97.1 217
HZO MoS
2
160 6.07 39
HZO MoS
2
80–90 5.6 218
CIPS MoS
2
/ 6.1 219
Applied Physics Reviews REVIEW scitation.org/journal/are
Appl. Phys. Rev. 10, 011310 (2023); doi: 10.1063/5.0090120 10, 011310-13
V
CAuthor(s) 2023
VI. FeFETS FOR OPTOELECTRONIC
AND OTHER APPLICATIONS
A. Photodetectors
It has been reported that the ferroelectric polarization field could
suppress dark current to improve the light/dark current ratio of photo-
detectors. Recently, it has been found that the energy band of low-
dimensional semiconductors could be modulated by ferroelectric
polarization,
30,57
which could be utilized to expand the detection range
of photodetectors. Nowadays, photodetectors based on FeFETs for dif-
ferent ranges of wavelengths have been demonstrated, including UV
detectors,
43
visible-light to near-infrared (NIR) detectors,
30–32,42,87
and
mid-wave infrared (MWIR) detectors.
33,44,45
Photodetector based on 2D materials and regulated by ferroelec-
tric field has been demonstrated and investigated for the first time in
2015 by Wang et al.
30
As shown in Fig. 7(a), the photodetector is
designed as a top-gated FeFET. The channel semiconductor is MoS
2
,
an n-type semiconductor with high photosensitivity and moderate
bandgap (from 1.2 to 1.8eV). Ferroelectric polymer P(VDF-TrFE) is
chosen as the top-gate dielectric, for it could be a passivation layer to
reduce performance degradation of the 2D semiconductor in ambient
atmosphere. The photodetector is designed as front-side illumination
photodetector and the gate electrode is ultra-thin semitransparent Al
film. The regulation ability of the ferroelectric field is demonstrated in
Fig. 7(b). When the ferroelectric gate is unpolarized (fresh state), there
is only a small change of the source–drain current between under dark
and under laser illumination. When the ferroelectric gate is downward
polarized (P down state), intrinsic carriers in the semiconductor chan-
nel are modulated as accumulation state, and dark current is an order
of magnitude higher than that of fresh state, while photocurrent is too
small to observe. When the ferroelectric gate is upward polarized
FIG. 6. NCFETs based on FeFETs. [(a)–(c)] Structure and characteristics of an NCFET based on a PMOS connected to a ferroelectric capacitor.
208
Reproduced with permis-
sion from Jo and Shin, IEEE Electron. Device Lett. 37(3), 245 (2016). Copyright 2016 IEEE. (a) Structure schematic of the NCFET. (b) TEM image of the P(VDF
0.75
-TrFE
0.25
)
ferroelectric capacitor. (c) Transfer characteristics comparison of PMOS and the NCFET. [(d)–(f)] Schematic and performance of NC-TFET.
205
Reproduced with permission
from AIP Adv. 4(10), 107117 (2014). Copyright 2014 AIP Publishing. (d) Lateral view schematic of NC-TFET. (e) Schematic of the transfer characteristics of MOSFETs, TFETs,
and NC-TFETs. Inset: band diagrams of MOSFETs, TFETs, and NC-TFETs. (f) Transfer characteristics of the NC-TFET. Inset: calculated surface potentials of the TFET and
NC-TFET. [(g) and (h)] Schematic and performance of a 2D NCFET based on MoS
2
.
210
Reproduced with permission from Si et al., Nat. Nanotechnol. 13(1), 24 (2017).
Copyright 2017 Springer Nature. (g) Structure schematic of the MoS
2
NCFET. (h) Transfer characteristics of the NCFET with V
ds
¼0.1 and 0.9 V. (i) SS as a function of I
d
of
FeFET and MOSFET.
Applied Physics Reviews REVIEW scitation.org/journal/are
Appl. Phys. Rev. 10, 011310 (2023); doi: 10.1063/5.0090120 10, 011310-14
V
CAuthor(s) 2023
(P up state), intrinsic carriers are depleted, and dark current is sup-
pressed as low as around 10
11
A, while, surprisingly, the current
under light illumination is even slightly higher than that of fresh state.
Consequently, a high light/dark current ratio of over 10
3
is achieved,
with responsivity and detectivity estimated as high as 2570 A W
1
and
2.2 10
12
Jones, respectively. Another discovery is that the bandgap
of few-layer MoS
2
could be regulated by ferroelectric field. The photo-
response spectrum of the photodetector is shown in Fig. 7(c),whichis
the first time for a MoS
2
photodetector to be broadened to 1.55 lm.
The effect of the electric field polarization on the band structure of
few-layer MoS
2
has been investigated via photoluminescence (PL)
spectrum and density functional theory. As reported, the bandgap of
triple-layer MoS
2
could be modulated from 1.09 to 0.24 eV with the
external field changing from zero to 0.8 V nm
1
. Whereafter, low-
dimension FeFET photodetectors based on various materials have
been demonstrated, confirming the feasibility of ferroelectric regula-
tion for high-performance photodetectors (see Table IV).
Since the prediction and observation of the ferroelectric NC
effect, it has been utilized in low power consumption switching devi-
ces. Recently, a report has indicated the great potential of NC FeFETs
for ultrasensitive photodetectors.
41
A photodetector based on an NC
FeFET structure is shown in Fig. 7(d),withAl
2
O
3
/HZO as gate stacks
and TiN as gate electrode. The voltage amplification of the ferroelectric
NC effect has been observed in the device, with a minimum forward
SS as low as 17.64mV/dec. The transfer characteristics of the photode-
tector are shown in Fig. 7(e). A threshold shift is observed with
FIG. 7. Photodetectors based on FeFETs. [(a)–(c)] Schematic and performance of tri-layer MoS
2
photodetector based on FeFET structure.
30
(a) Structure schematic of the
triple-layer MoS
2
photodetector with P(VDF-TrFE) top gate. (b) Time-dependent photoresponse of ferroelectric polarization gating triple-layer MoS
2
photodetector at three
states. (c) The responsivity of the MoS
2
photodetector at P-up state as a function of incident light wavelength. [(d)–(f)] Schematic and performance of an NCFET phototransis-
tor.
41
(d) Structure schematic of the MoS
2
phototransistors with HZO/Al
2
O
3
gate dielectric stack. (e) Transfer characteristics of the NCFET in the dark and under various inci-
dent light powers at k¼520 nm. (f) The detectivity of the NCFET under various incident light powers. [(g)–(i)] Schematic and performance of a multimechanism FeFET
photodetector.
33
(g) Response mechanism schematic of the FeFET photodetector with incident light wavelengths ranging from MWIR to LWIR. (h) Time-dependent photores-
ponse of the FeFET photodetector with LWIR incident light at k¼10 lm. (i) Photoresponsivity and on/off photocurrent switching ratio of the FeFET photodetector with incident
light in MWIR-LWIR region.
Applied Physics Reviews REVIEW scitation.org/journal/are
Appl. Phys. Rev. 10, 011310 (2023); doi: 10.1063/5.0090120 10, 011310-15
V
CAuthor(s) 2023
increasing incident power. As described in the inset of Fig. 7(e), photo-
current is dependent on SS and threshold shift. Consequently, the
ultrasensitive photoresponse is attributed to both a large threshold
shift resulting from the photogating effect and an ultra-steep SS result-
ing from the NC effect. The specific detectivity of the photodetector is
estimated to be as high as 4.74 10
14
cm Hz
1/2
W
1
,asshowninFig.
7(f), which is higher than most reported MoS
2
photodetectors. The
results indicate that gate engineering based on ferroelectric dielectrics
could be a promising method for ultrasensitive photodetection, such
as few-phonon detection and single-phonon detection.
The pyroelectricity of ferroelectrics could be used to design infra-
red detectors for the thermal effect resulting from infrared radiation.
Figure 7(g) shows a multi-mechanism photodetector with an ultrab-
road response from visible to long-wavelength infrared.
33
In response
from visible light to near-infrared, photocurrent is mainly contributed
to channel semiconductor and the role of the ferroelectric polarization
field is to suppress dark current to improve the sensitivity of the pho-
todetector. In response from mid-wavelength infrared to long-
wavelength infrared, the pyroelectricity of the ferroelectric material
plays a dominant role. The photoresponse characteristics at 10 lmare
shown in Fig. 7(h). In this circumstance, ferroelectric polarization is
weakened due to temperature increase under infrared radiation, thus
bound charge in semiconductor releases to generate photocurrent.
Figure 7(i) illustrates the responsivity and photocurrent on/off ratio
for incident light in the 2.76–10 lm range, with the highest responsiv-
ity of 140 mA W
1
at 10 lm. The photodetector works under a very
small bias with low power consumption and the photoresponse breaks
the limitation of semiconductor bandgap. Moreover, different from
traditional pyroelectric detectors comprised of sensors, capacitors,
resistors, and FETs, this work achieves the function of pyroelectric
detectors with a single element. Therefore, the structure of the detector
could be greatly simplified, improving signal transmission speed, and
reducing the cost.
Due to the development of low-dimensional semiconductor
materials, low-dimensional photodetectors based on FeFETs have
been proposed, showing application potential in high-performance
photodetectors. Recently, it has been demonstrated that the ferroelec-
tric polarization field could regulate band alignment of low-
dimensional semiconductor heterojunctions,
220
so that photodetector
could work in different modes to adapt to different working environ-
ments. In addition, ferroelectric polarization fields have recently been
reported to enhance the polarization sensitivity of bP photodetectors,
showing ultra-high extinction ratios of over 200.
221
These reports indi-
cate that FeFET low-dimensional photodetectors are powerful candi-
dates for a new generation of high-performance and multifunctional
photodetectors. For a sufficient understanding, more experiments and
models need to be investigated.
B. Multifunctional devices
Multifunctional devices are useful in advanced highly integrated
circuits. For instance, a multifunctional device could work as both
memory and photodetector, which is of great value for optoelectronic
chips. With the development of atomic force microscopy (AFM) and
relevant scanning probe technologies, such as conducting atomic force
microscopy (CAFM) and piezoresponse force microscopy (PFM), the
nanoscale control of ferroelectric domains becomes feasible. With
CAFM and PFM scanning, the voltage could be applied on the surface
of the ferroelectric via a nanoscale tip to directly control the ferroelec-
tric domains. Scanning probe technologies are exciting for novel device
structures, for instance, gate-free FETs. Combined with the excellent
properties of ferroelectric materials and the controllability of electric
domains, FeFETs have application potential in multifunctional devices.
An advanced electrical writing and optical reading memory
device based on a planar homojunction was reported.
51
The schematic
of the device is shown in Fig. 8(a).MoTe
2
was chosen as a channel
semiconductor since it could be modulated as either p-type or n-type
via electrical doping. With ferroelectric domains of P(VDF-TrFE), top
gate dielectric could be controlled via a CAFM tip, so that different
areas of MoTe
2
could be set as different types. As shown in Fig. 8(b),
p–p, n–n, p–n, and n–p homojunctions are achieved, respectively.
Benefiting from the nonvolatile and erasable properties of ferroelec-
trics, the rectification characteristics of the MoTe
2
homojunction could
be written, reversed, and erased as arbitrary shapes and sizes without
the constraints of physical gate electrodes [Fig. 8(a)]. When modulated
as a p–n homojunction, the photoresponse characteristics are shown
in Fig. 8(c), exhibiting a high on/off ratio of over 10
5
and high respon-
sivity of 1.5 A W
1
at zero bias. Based on sensitive photoresponse, an
electrical writing and optical reading memory array is achieved with
arbitrary domain patterns. Information of the array could be read via
spatially resolved photocurrent mapping images. As photocurrent
mapping is shown in the inset of Fig. 8(c), a heart-shaped ferroelectric
domain pattern is written via CAFM.
Optical writing and electrical reading memories based on FeFET
structures have also been reported.
52
As shown in Fig. 8(d), a bottom-
gate FeFET based on MoS
2
was demonstrated. As reported, when under
visible light illumination, the photogenerated carriers in MoS
2
could
produce an electrical field, which has an impact on the ferroelectric
polarization state of the bottom gate. Figure 8(e) shows drain–source
current under different conditions. With light illumination lasting
for 5 min, the on/off ratio decays to almost 1, indicating that the
FeFET memory could be erased via the optical method. Moreover,
when the initial state of a memory is off state, it could be set as an
acceptable on state with a smaller on/off ratio of 15 via illumination,
indicating that the memory could also be written via the optical method.
TABLE IV. Performance comparison of some reported photodetectors based on low-dimensional non-FeFETs and FeFETs.
Ferroelectric
material
Channel
material Non-FeFET FeFET Ref.
P(VDF-TrFE) MoS
2
very small I
ph
/I
dark
ratio l¼24.6 cm
2
V
1
s
1
I
ph
/I
dark
ratio 10
3
l¼86.5 cm
2
V
1
s
1
30
P(VDF-TrFE) In
2
Se
3
l¼5.2 10
–3
cm
2
V
1
s
1
l¼0.21 cm
2
V
1
s
1
responsivity ¼25 A W
1
42
P(VDF-TrFE-CFE) MoS
2
l¼3.5 cm
2
V
1
s
1
l¼51.94 cm
2
V
1
s
1
responsivity >300 A W
1
87
P(VDF-TrFE) CdS nanowire I
ph
/I
dark
ratio <1I
ph
¼0.55 lAI
ph
/I
dark
ratio ¼10
6
I
ph
¼1.13 lA32
Applied Physics Reviews REVIEW scitation.org/journal/are
Appl. Phys. Rev. 10, 011310 (2023); doi: 10.1063/5.0090120 10, 011310-16
V
CAuthor(s) 2023
On the other hand, the memory could be operated by the electrical
method, as shown in Fig. 8(f). Consequently, there are optional operat-
ing modes of the device: electrical writing/erasing; optical writing/
erasing; and electrical erasing and optical writing, which could be uti-
lized for different conditions. However, the memory needs to be electri-
cally written beforehand, causing limitations in applications. Recently,
an optically controlled multifunctional FeFET device was reported.
49
The schematic diagram of the device is shown in Fig. 8(g), with mono-
layer MoS
2
as a light-sensitive conducting channel, and BTO film as a
bottom ferroelectric gate. As shown in Fig. 8(h), the ferroelectric polari-
zation field could be regulated via photosensitive MoS
2
, so that the con-
ductance of the FeFET could be adjusted. As reported, it could be both
optically written and electrically written. The device exhibits memris-
tive characteristics and shows application potential for neuromorphic
vision sensors. A 5 5optoelectronicarraybasedonthedevicewas
demonstrated, showing high photosensitivity and strong robustness.
This array could be designed as a preprocessor for ANNs to extract
effective information so that the efficiency and accuracy of ANNs for
vision systems could be improved significantly.
Some reports have demonstrated that, in optically modulated
FeFET, fast and robust optical/electrical, multilevel, and nonvolatile
memory operations could be achieved.
222–224
An organic multifunc-
tional FeFET has been recently reported by Orgiu et al.,
224
which could
be written and erased independently by optical or electrical means.
Note that multifunctional FeFET devices could be expanded to many
other semiconductors and ferroelectrics, it shows great potential for
advanced optoelectronic circuits and chips.
C. Sensors
The polarization of ferroelectric is related to many external fac-
tors, including electric field, pressure, and temperature, resulting in a
FIG. 8. Multifunctional devices based on FeFETs. [(a)–(c)] Structure and characteristics of programmable TMDs homojunctions.
51
(a) Structure schematic of a lateral p–n–p–n
MoTe
2
homojunction. (b) Output characteristics for p–p, p–n, n–p, and n–n MoTe
2
homojunctions tuned via probe-controlled ferroelectric domains. (c) Output curves of a repre-
sentative p–n MoTe
2
homojunction under different illumination at a wavelength of 658 nm. Inset: a photocurrent mapping image of the programmable MoTe
2
device written with
a heart-shaped ferroelectric domain. [(d)–(f)] Structure and performance of an optoelectrical ferroelectric memory based on MoS
2
FeFET.
52
Reproduced with permission from
Lipatov et al., ACS Nano 9(8), 8089 (2015). Copyright 2015 American Chemical Society. (d) Structure schematic of the MoS
2
FeFET memory with PZT back gate. (e) Effect of
visible light illumination on the data retention characteristics of a MoS
2
FeFET. (f) Cyclic endurance of the device with pulses of 6 and þ6V for 1 ms were used for electrical
writing and erasing, respectively. [(g) and (h)] Schematic and characteristics of an optical sensing and nonvolatile multi-level memory in MoS
2
/BTO transistor.
49
Reproduced
with permission from Du et al., Nano Energy 89, 106439 (2021). Copyright 2021 Elsevier. (g) Schematic diagram of optoelectronic synapses stimulated by light pulses with
wavelengths of 450, 532, and 650 nm. (h) Conductance switching under optical excitation and electrical excitation with various pulse widths. The applied voltage is 5V.
Applied Physics Reviews REVIEW scitation.org/journal/are
Appl. Phys. Rev. 10, 011310 (2023); doi: 10.1063/5.0090120 10, 011310-17
V
CAuthor(s) 2023
change of induced charge which is reflected in the output characteris-
tics of the device. Based on these properties, different types of sensors
based on ferroelectric materials have been demonstrated, such as ther-
mal sensors,
48,225
stress or pressure sensors,
46,169,226,227
and gas sen-
sors.
228
A promising application of ferroelectric sensors is in tactile
sensors,
46,229,230
since the organic ferroelectric lm is flexible and
transparent and has good compatibility with flexible substrates, such
as mica and polyimide. As a result, it is feasible to integrate FeFET on
flexible substrates to fabricate ultrathin flexible sensor arrays for wear-
able devices, e-textiles, and bionic skin materials. A multifunctional
sensor based on an organic FET connected with a P(VDF-TrFE)
capacitor has been reported,
48
exhibiting a correlation between the
output voltage and temperature/pressure. As reported, the sensor
responds to both statistic signal and dynamic signal with different
mechanisms. The statistic response corresponds to a change of ferro-
electric capacitance, meaning that there is no need for piezoelectric
and pyroelectric effects to realize statistic temperature and force sens-
ing. The dynamic response is achieved by piezoelectricity for force
sensing and pyroelectricity for temperature sensing, respectively.
Ferroelectric sensors could be very sensitive and could even have the
capability of detecting slight gas flow, such as a weak breath.
Considering that some ferroelectrics have a response to gas molecules,
such as hydrogen
231
and acetone,
228
hybrid sensors combining chemi-
cal and physical responses are supposed to be designed for environ-
mental atmosphere monitoring and medical dynamic monitoring.
Regarding the practical applications, a challenge of organic
FeFET sensors is the operation voltage, which could be reduced by
reducing threshold voltage and SS. Many classic organic ferroelectrics,
such as P(VDF-TrFE) and its polymers, are high polymers with sub-
stantial surface roughness. To attain high-performance organic FeFET
sensors, reducing trapped charge densities at interfaces between ferro-
electrics and semiconductors is a crucial challenge. Moreover, as men-
tioned above, gate dielectric with high dielectric constant optimized
thickness is also important for improving the FeFET performance.
Zirkl et al. demonstrated a low operation voltage organic FeFET for
optothermal sensing.
232
Its unique feature is the gate dielectric. As
shown in Fig. 9(a), the nanocomposite of an inorganic material (Al
2
O
3
or ZrO
2
) and an organic material [poly(a-methyl styrene), PaMS or
poly(vinyl cinnamate), PVCi] is used as the bottom gate dielectric. In
the nanocomposite, the inorganic component is chosen for its high
dielectric constant, and the organic component is utilized to fill the
low-density inorganic materials to attain a dense and smooth gate
dielectric with a surface rms roughness of 0.4 nm. The channel semi-
conductor of the FeFET is pentacene, which is well-separated on nano-
composite gate materials to achieve high-quality interfaces.
Consequently, a low interface trap density of (2–4) 10
11
cm
2
is
achieved, which is comparable to that of interfaces between Si and
SiO
2
. Owing to the improved interfaces and high-k gate dielectrics, a
low SS of 100 mV/dec is attained, and the operation gate voltage is
suppressed to as low as 1–1.5V. Regarding sensor applications, an
FIG. 9. Multifunctional sensors based on FeFETs. [(a)–(c)] Structure and characteristics of a flexible organic FeFET optothermal sensor.
232
Reproduced with permission from
Zirkl et al., Adv. Mater. 19(17), 2241 (2007). Copyright 2007 John Wiley and Sons. (a) Structure schematic of the organic FET (top panel) and the fully flexible sensor. (b) A
photo of the fully flexible sensor. (c) On–off cycles of the optothermal sensor with laser impinging on the device. [(d)–(f)] Schematic and characteristics of a flexible intrinsic-
synaptic tactile sensor based on FeFET.
233
Reproduced with permission from Lee et al., Nat. Commun. 11, 2753 (2020). Copyright 2020 Authors, licensed under a Creative
Commons Attribution (CC BY) license. (d) Structure schematic of the organic FeFET based on BTO nanoparticles/P(VDF-TrFE) ferroelectric gate stacks. (e) Mechanism dia-
gram of the synaptic tactile sensor. (f) Post-synaptic current during a tactile stimulus (0.3 kPa).
Applied Physics Reviews REVIEW scitation.org/journal/are
Appl. Phys. Rev. 10, 011310 (2023); doi: 10.1063/5.0090120 10, 011310-18
V
CAuthor(s) 2023
MFMIS structure was designed, as shown in the bottom of Fig. 9(a).
The ferroelectric P(VDF-TrFE) is used as a sensing material to achieve
a fully flexible optothermal sensor, as shown in Fig. 9(b). Based on the
pyroelectricity of P(VDF-TrFE), the sensor exhibits a high sensitivity
to infrared laser, as shown in Fig. 9(c), with a large on/off ratio over
10
4
and good stability. However, compared with capacitor-type ferro-
electric sensors, FeFET sensors seem to increase the circuit complexity
without an obvious performance improvement. Consequently, tactile
sensors for e-skin or other bionic applications tend to be achieved with
ferroelectric capacitors instead of FeFETs.
Combining tactile sensors with memristive devices, a novel con-
cept of the artificial intrinsic-synaptic tactile sensory organ (AiS-TSO)
was proposed and was demonstrated by a FeFET structure.
233
It has
been reported that there are synapse-like connections between sensory
organs. For instance, Merkel cells-neurite complexes (MCNCs)
described complexes of cutaneous mechanosensitive cells and synapses
with afferent neurons. AiS-TSO aims to mimic the functions of
MCNCs so that the artificial sensors have synaptic properties. As
shown in Fig. 9(d), the AiS-TSO is designed as a bottom-gate FeFET,
where the ferroelectric gate is a barium titanate nanoparticles (BT
NPs)/P(VDF-TrFE) nanocomposite film with a thickness of 0.6 lm,
and channel semiconductor is pentacene. The tactile mechanism of
the AiS-TSO is shown in Fig. 9(e). The initial polarization state of the
device is set as step I in Fig. 9(f). When the sensor is touched (step II),
the difference in electron affinity between the skin and the receptive
part of the device results in negative charges being transferred from
the skin to the receptive part. With continuous touching, triboelectric
charges move onto the receptive part. Therefore, ferroelectric polariza-
tion changes to maintain the equilibrium. Then, when touching is
removed (step III), polarization intensity increases to compensate for
the removed positive charges of the skin, causing a temporary increase
in the post-synaptic current (PSC). Furthermore, in step IV, the PSC
of the device decreases slowly to deplete the capacitance resulting from
triboelectric charges. To confirm the synaptic properties of the AiS-
TSO, stimulations with various forces, durations, and frequencies are
applied. As demonstrated, peak PSC in step II and PSC in step IV
both increase with stronger, longer, and denser stimulation, indicating
short-term or long-term plasticity (STP/LTP) properties of the synap-
ses. Defining the PSC in step IV as synaptic weight, the relative change
of the synaptic weight could be set at an appropriate point to filter
noise, so that specific information could be received and processed. A
small 2 2 array of the AiS-TSO has been demonstrated, exhibiting
functions of sensory perception. By analyzing the synaptic weight, the
touching sequence could be derived.
Multifunctional sensors based on FeFET provide multiparameter
sensing with temporal and spatial resolution and exhibit a significant
advantage in low power consumption. Therefore, FeFET-based sen-
sors are potential candidates for smart sensors used in the Internet of
Things (IoT). To meet the requirements of smart sensors of being eas-
ily integrated, lightweight, and wearable, the integration of ferroelectric
polymer sensors with organic FET is a preferred choice. Since ferro-
electric sensors do not require external power, an important require-
ment for a connected organic FET is low power consumption.
Otherwise, the goal of sustainable smart ferroelectric sensors is hard to
achieve.
VII. SUMMARY AND OUTLOOK
Development, properties, and applications of FeFETs in electronics
and optoelectronics are reviewed. In the electronic field, FeFET-based
memories get much attention. HfO
2
appears to be a potential solution
for FeFET-based memories due to its unusual ferroelectric behavior and
mature processing technology. FeFETs based on ultrathin HfO
2
have
been demonstrated, exhibiting excellent performance and compatibility
with modern silicon processing.
164,199
Table V compares FeFET-based
memory with other nonvolatile memories, including mainstream com-
mercial memories and other emerging memories. FeFET-based memo-
ries have the advantages of fast speed, low power consumption, good
scalability, and memory cell of only 1T with low operation voltage. The
main challenges of HfO
2
-based FeFETs include cell-to-cell variability,
parasitic charge trapping into gate stack, and endurance/retention trade-
off. Cell-to-cell variability is caused by inhomogeneous grain size in
HfO
2
films, which could be improved by reducing grain size to form
nanocrystals or increasing grain size to form large-area single crystals.
Nanocrystals allow scaled FeFETs to contain many grains, so that vari-
ability caused by inhomogeneity grains could be reduced at the device
level. Single crystals provide more precise control of threshold voltage
with a high requirement of crystalline quality. The microscale characteri-
zation techniques developed in recent years, such as PFM and other
probing techniques, play an important role in studying grain structure
and electric field distribution in ferroelectric film, which is crucial for
improving FeFET variability. Charge trapping occurs at interfaces
between ferroelectric materials and other materials, causing depolariza-
tion and degradation. Potential solutions include using a high-k dielec-
tric, MFMIS structure, and an oxide semiconductor channel.
TABLE V. Performance comparison of various nonvolatile memories. Data are extracted from Refs. 235 and 236. FTJ: ferroelectric tunnel junction; STT-MRAM: Spin-transfer
torque magnetoresistive RAM; RRAM: resistive RAM.
Emerging memories Mainstream memories
Type FeFET FTJ STT-MRAM PCM RRAM DRAM Flash FeRAM
Cell structure 1T 1T1R or 1D1R 1T1MTJ 1T1R 1T1R 1T1C 1.5T 1T1C
Node demonstration 22 nm 20 nm 30–80 nm MTJ 28 nm FDSOI 22 nm 22 nm 40 nm 90 nm
Cell area 4F
2
NAND / 6–30 F
2
4/4 L F
2
6–30 F
2
6–8 F
2
6–30 F
2
6–30 F
2
Write time 5 ns 10 ns 10 ns–1 ls 10–100 ns 100 ns >10 ns 100 ns 10–100 ns
Endurance 10
12
410
6
10
12
10
7
10
6
>10
16
10
5
10
15
Operation voltage 1.5–4 V 1.4 V 0.6 V / / <1 V 12 V 1.2 V
Cell energy 3 fJ 1.2 fJ 120 fJ 10 pJ 0.1 pJ 1 pJ 100 pJ 100 pJ
Applied Physics Reviews REVIEW scitation.org/journal/are
Appl. Phys. Rev. 10, 011310 (2023); doi: 10.1063/5.0090120 10, 011310-19
V
CAuthor(s) 2023
The endurance/retention trade-off of FeFET depends on the application
scenario. For example, for neural network applications, which require
fast-speed, high-density, and low-power memories, the endurance of
FeFET is more important than the retention. For smart sensing applica-
tions in IoT edge computing, FeFET is supposed to have good retention
characteristics to minimize power consumption.
In the field of optoelectronics, thanks to the development of low-
dimensional semiconductor and probe microscopy technologies,
FeFET shows great potential in photodetectors and multifunctional
devices. The combination of low-dimensional semiconductor with fer-
roelectric is very attractive because of the atomically flat van der Waals
interface and ultra-thin thickness of the semiconductor, allowing for
both high-quality ferroelectric/semiconductor interface and low oper-
ating voltage. The co-regulation of optic and ferroelectric polarization
fields in semiconductors integrates more functions in a FeFET.
FeFETs based on low-dimensional semiconductors have been demon-
strated,
11,30,33,38,51,180,221
showing high performance and potential in
optoelectronic applications, such as high-sensitive photodetectors,
42,221
optoelectrical memories,
51
and memristive devices
20
for electrical writ-
ing optical reading and optical writing electrical reading. Noticing
research on optical control of ferroelectric polarization in recent
years,
234
FeFET shows great potential for high-speed optoelectronic
circuits and chips. Another attractive application of FeFET in opto-
electronics is a wearable smart sensor for IoT. To cope with the
demands of extremely low power consumption in this scenario, the
operating voltage of organic FeFETs needs to be further reduced. By
improving the deposition method of ferroelectric lms, the ferroelec-
tric/semiconductor interface is improved, resulting in a significant
reduction of the operating voltage.
172,173
Combining ferroelectric sen-
sors directly with low operating voltage flexible FETs is also a solution
for smart sensor applications since ferroelectric sensors could operate
in self-powered mode.
With the development of quantum physics and low-dimensional
materials, low-dimensional ferroelectrics have attracted much atten-
tion.
11–13
With dimension reduction, symmetry breaking causes out-
of-plane and in-plane ferroelectricity. Technologies of van der Waals
integration provide flexibility and convenience to build FeFETs with
perfect interfaces, which is difficult for modern semiconductor tech-
nologies to achieve. This will help to reduce the degradation of proper-
ties caused by interfacial defects. However, the research on the
ferroelectric mechanism and preparation techniques of 2D ferroelec-
tric materials is in its infancy, and a significant number of laboratory
studies are still needed. To bring the application of FeFETs to fruition,
a more feasible fabricating process needs to be improved to realize
large integration circuits, and the issue of retention time resulting
from depolarization should be carefully considered. However, out-
standing performance, unique functions, and low power consumption
indicate that FeFETs have tremendous potential in advanced elec-
tronic and optoelectronic devices.
ACKNOWLEDGMENTS
This work is supported by the National Key Research and
Development Program in the 14th five year plan (Grant No.
2021YFA1200700), Natural Science Foundation of China (Grant
Nos. 62025405, 61835012, 62222413, and 62105100), Strategic
Priority Research Program of the Chinese Academy of Sciences
(Grant No. XDB44000000), Key Research Program of Frontier
Sciences, CAS (Grant No. ZDBS-LY-JSC045), Science and Technology
Commission of Shanghai Municipality (Grant No. 21511103500), and
Hundred Talents Program of the Chinese Academy of Sciences.
AUTHOR DECLARATIONS
Conflict of Interest
The authors have no conflicts to disclose.
Author Contributions
Hanxue Jiao: Writing original draft (lead); Writing review & editing
(lead). Xudong Wang: Conceptualization (equal); Writing review &
editing (supporting). Shuaiqin Wu: Visualization (supporting); Writing
review & editing (supporting). Yan Chen: Conceptualization (equal);
Visualization (equal); Writing review & editing (supporting). Junhao
Chu: Conceptualization (equal). Jianlu Wang: Conceptualization (equal);
Writing review & editing (supporting).
DATA AVAILABILITY
Data sharing is not applicable to this article as no new data were
created or analyzed in this study.
REFERENCES
1
J. Valasek, Phys. Rev. 17(4), 475 (1921).
2
D. R. Callaby, J. Appl. Phys. 36(9), 2751 (1965).
3
Y. S. Kim, D. H. Kim, J. D. Kim, Y. J. Chang, T. W. Noh, J. H. Kong, K. Char,
Y. D. Park, S. D. Bu, J. G. Yoon, and J. S. Chung, Appl. Phys. Lett. 86(10),
102907 (2005).
4
J. G. Bergman, J. H. McFee, and G. R. Crane, Appl. Phys. Lett. 18(5), 203 (19 71).
5
T. Furukawa and G. E. Johnson, Appl. Phys. Lett. 38(12), 1027 (1981).
6
T. Furukawa, M. Date, and E. Fukada, J. Appl. Phys. 51(2), 1135 (1980).
7
J. W. Lee, Y. Takase, B. A. Newman, and J. I. Scheinbeim, J. Polym. Sci., Part
B: Polym. Phys. 29(3), 273 (1991).
8
R. Meyer, L. Liebert, and L. Strzelecki, J. Phys. Lett. 36(3), 69 (1975).
9
T. Niori, T. Sekine, J. Watanabe, T. Furukawa, and H. Takezoe, J. Mater.
Chem. 6(7), 1231 (1996).
10
D. M. Walba, E. Korblova, R. Shao, J. E. Maclennan, D. R. Link, M. A. Glaser,
and N. A. Clark, Science 288(5474), 2181 (2000).
11
A. Belianinov, Q. He, A. Dziaugys, P. Maksymovych, E. Eliseev, A. Borisevich,
A. Morozovska, J. Banys, Y. Vysochanskii, and S. V. Kalinin, Nano Lett. 15(6),
3808 (2015).
12
Y. Zhou, D. Wu, Y. Zhu, Y. Cho, Q. He, X. Yang, K. Herrera, Z. Chu, Y. Han,
M. C. Downer, H. Peng, and K. Lai, Nano Lett. 17(9), 5508 (2017).
13
M. Si, P. Y. Liao, G. Qiu, Y. Duan, and P. D. Ye, ACS Nano 12(7), 6700 (2018).
14
J. Moll and Y. Tarui, IEEE Trans. Electron Devices 10(5), 338 (1963).
15
Y. Nishitani, Y. Kaneko, M. Ueda, T. Morie, and E. Fujii, J. Appl. Phys.
111(12), 124108 (2012).
16
S. Boyn, J. Grollier, G. Lecerf, B. Xu, N. Locatelli, S. Fusil, S. Girod, C.
Carretero, K. Garcia, S. Xavier, J. Tomas, L. Bellaiche, M. Bibes, A.
Barthelemy, S. Saighi, and V. Garcia, Nat. Commun. 8, 14736 (2017).
17
Y. Chen, Y. Zhou, F. Zhuge, B. Tian, M. Yan, Y. Li, Y. He, and X. Miao, npj
2D Mater. Appl. 3(1), 31 (2019).
18
A. Chanthbouala, V. Garcia, R. O. Cherifi, K. Bouzehouane, S. Fusil, X. Moya,
S. Xavier, H. Yamada, C. Deranlot, N. D. Mathur, M. Bibes, A. Barthelemy,
and J. Grollier, Nat. Mater. 11(10), 860 (2012).
19
Y. Kaneko, Y. Nishitani, and M. Ueda, IEEE Trans. Electron Devices 61(8),
2827 (2014).
20
B. Tian, L. Liu, M. Yan, J. Wang, Q. Zhao, N. Zhong, P. Xiang, L. Sun, H.
Peng, H. Shen, T. Lin, B. Dkhil, X. Meng, J. Chu, X. Tang, and C. Duan, Adv.
Electron. Mater. 5(1), 1800600 (2019).
Applied Physics Reviews REVIEW scitation.org/journal/are
Appl. Phys. Rev. 10, 011310 (2023); doi: 10.1063/5.0090120 10, 011310-20
V
CAuthor(s) 2023
21
V. V. Zhirnov and R. K. Cavin, Nat. Nanotechnol. 3(2), 77 (2008).
22
A. Khan, D. Bhowmik, P. Yu, S. Kim, X. Pan, R. Ramesh, and S. Salahuddin,
Appl. Phys. Lett. 99(11), 113501 (2011).
23
G. A. Salvatore, A. Rusu, and A. M. Ionescu, Appl. Phys. Lett. 100(16),
163504 (2012).
24
D. J. Appleby, N. K. Ponon, K. S. Kwa, B. Zou, P. K. Petrov, T. Wang, N. M.
Alford, and A. O’Neill, Nano Lett. 14(7), 3864 (2014).
25
W. Gao, A. Khan, X. Marti, C. Nelson, C. Serrao, J. Ravichandran, R. Ramesh,
and S. Salahuddin, Nano Lett. 14(10), 5814 (2014).
26
M. Hoffmann, M. Pe
sic´, K. Chatterjee, A. I. Khan, S. Salahuddin, S. Slesazeck,
U. Schroeder, and T. Mikolajick, Adv. Funct. Mater. 26(47), 8643 (2016).
27
E. Ko, J. W. Lee, and C. Shin, IEEE Electron Device Lett. 38(4), 418 (2017).
28
X. Wang, Y. Chen, G. Wu, D. Li, L. Tu, S. Sun, H. Shen, T. Lin, Y. Xiao, M.
Tang, W. Hu, L. Liao, P. Zhou, J. Sun, X. Meng, J. Chu, and J. Wang, npj 2D
Mater. Appl. 1(1), 38 (2017).
29
J. Xu, S.-Y. Jiang, M. Zhang, H. Zhu, L. Chen, Q.-Q. Sun, and D. W. Zhang,
Appl. Phys. Lett. 112(10), 103104 (2018).
30
X. Wang, P. Wang, J. Wang, W. Hu, X. Zhou, N. Guo, H. Huang, S. Sun, H.
Shen, T. Lin, M. Tang, L. Liao, A. Jiang, J. Sun, X. Meng, X. Chen, W. Lu, and
J. Chu, Adv. Mater. 27(42), 6575 (2015).
31
H. Huang, X. Wang, P. Wang, G. Wu, Y. Chen, C. Meng, L. Liao, J. Wang, W.
Hu, H. Shen, T. Lin, J. Sun, X. Meng, X. Chen, and J. Chu, RSC Adv. 6(90),
87416 (2016).
32
D. Zheng, H. Fang, P. Wang, W. Luo, F. Gong, J. C. Ho, X. Chen, W. Lu, L.
Liao, J. Wang, and W. Hu, Adv. Funct. Mater. 26(42), 7690 (2016).
33
X. Wang, H. Shen, Y. Chen, G. Wu, P. Wang, H. Xia, T. Lin, P. Zhou, W. Hu,
X. Meng, J. Chu, and J. Wang, Adv. Sci. 6(15), 1901050 (2019).
34
Y. Yang, X. Wang, C. Wang, Y. Song, M. Zhang, Z. Xue, S. Wang, Z. Zhu, G.
Liu, P. Li, L. Dong, Y. Mei, P. K. Chu, W. Hu, J. Wang, and Z. Di, Nano Lett.
20(5), 3872 (2020).
35
T. Kanki, H. Tanaka, and T. Kawai, Appl. Phys. Lett. 89(24), 242506 (2006).
36
V. K. Sangwan, D. Jariwala, I. S. Kim, K. S. Chen, T. J. Marks, L. J. Lauhon,
and M. C. Hersam, Nat. Nanotechnol. 10(5), 403 (2015).
37
F. Xue, X. He, J. R. D. Retamal, A. Han, J. Zhang, Z. Liu, J. K. Huang, W. Hu,
V. Tung, J. H. He, L. J. Li, and X. Zhang, Adv. Mater. 31(29), e1901300
(2019).
38
F. A. McGuire, Z. Cheng, K. Price, and A. D. Franklin, Appl. Phys. Lett.
109(9), 093101 (2016).
39
F. A. McGuire, Y. C. Lin, K. Price, G. B. Rayner, S. Khandelwal, S.
Salahuddin, and A. D. Franklin, Nano Lett. 17(8), 4801 (2017).
40
A. Nourbakhsh, A. Zubair, S. Joglekar, M. Dresselhaus, and T. Palacios,
Nanoscale 9(18), 6122 (2017).
41
L. Tu, R. Cao, X. Wang, Y. Chen, S. Wu, F. Wang, Z. Wang, H. Shen, T. Lin,
P. Zhou, X. Meng, W. Hu, Q. Liu, J. Wang, M. Liu, and J. Chu, Nat. Commun.
11(1), 101 (2020).
42
G. Wu, X. Wang, P. Wang, H. Huang, Y. Chen, S. Sun, H. Shen, T. Lin, J.
Wang, S. Zhang, L. Bian, J. Sun, X. Meng, and J. Chu, Nanotechnology
27(36), 364002 (2016).
43
P. Wang, Y. Wang, L. Ye, M. Wu, R. Xie, X. Wang, X. Chen, Z. Fan, J. Wang,
and W. Hu, Small 14(22), e1800492 (2018).
44
J. X. Guo, S. D. Li, Y. Z. Ke, L. N. Mao, W. Huang, and X. S. Zhang, in
Proceedings of the Eleventh International Conference on Information Optics
and Photonics (2019), Vol. 11209.
45
S. Zhang, H. Jiao, X. Wang, Y. Chen, H. Wang, L. Zhu, W. Jiang, J. Liu, L.
Sun, T. Lin, H. Shen, W. Hu, X. Meng, D. Pan, J. Wang, J. Zhao, and J. Chu,
Adv. Funct. Mater. 30, 2006156 (2020).
46
W. Park, J. H. Yang, C. G. Kang, Y. G. Lee, H. J. Hwang, C. Cho, S. K. Lim, S.
C. Kang, W. K. Hong, S. K. Lee, S. Lee, and B. H. Lee, Nanotechnology
24(47), 475501 (2013).
47
T. Sekitani, T. Yokota, U. Zschieschang, H. Klauk, S. Bauer, K. Takeuchi, M.
Takamiya, T. Sakurai, and T. Someya, Science 326(5959), 1516 (2009).
48
S. Hannah, A. Davidson, I. Glesk, D. Uttamchandani, R. Dahiya, and H.
Gleskova, Org. Electron. 56, 170 (2018).
49
J. Du, D. Xie, Q. Zhang, H. Zhong, F. Meng, X. Fu, Q. Sun, H. Ni, T. Guo, H.
Guo, M. He, C. Wang, L. Gu, X. Xu, G. Zhang, G. Yang, K. Jin, and C. Ge,
Nano Energy 89, 106439 (2021).
50
G. Wu, X. Wang, Y. Chen, S. Wu, B. Wu, Y. Jiang, H. Shen, T. Lin, Q. Liu, X.
Wang, P. Zhou, S. Zhang, W. Hu, X. Meng, J. Chu, and J. Wang, Adv. Mater.
32(16), 1907937 (2020).
51
G. Wu, B. Tian, L. Liu, W. Lv, S. Wu, X. Wang, Y. Chen, J. Li, Z. Wang, S.
Wu, H. Shen, T. Lin, P. Zhou, Q. Liu, C. Duan, S. Zhang, X. Meng, S. Wu, W.
Hu, X. Wang, J. Chu, and J. Wang, Nat. Electron. 3(1), 43 (2020).
52
A. Lipatov, P. Sharma, A. Gruverman, and A. Sinitskii, ACS Nano 9(8), 8089
(2015).
53
S. Mueller, J. Mueller, A. Singh, S. Riedel, J. Sundqvist, U. Schroeder, and T.
Mikolajick, Adv. Funct. Mater. 22(11), 2412 (2012).
54
J. Muller, T. S. Boscke, U. Schroder, S. Mueller, D. Brauhaus, U. Bottger, L.
Frey, and T. Mikolajick, Nano Lett. 12(8), 4318 (2012).
55
M. H. Park, Y. H. Lee, H. J. Kim, Y. J. Kim, T. Moon, K. D. Kim, J. Muller, A.
Kersch, U. Schroeder, T. Mikolajick, and C. S. Hwang, Adv. Mater. 27(11),
1811 (2015).
56
H. J. Lee, M. Lee, K. Lee, J. Jo, H. Yang, Y. Kim, S. C. Chae, U. Waghmare,
and J. H. Lee, Science 369(6509), 1343 (2020).
57
Y. Chen, X. Wang, L. Huang, X. Wang, W. Jiang, Z. Wang, P. Wang, B. Wu,
T. Lin, H. Shen, Z. Wei, W. Hu, X. Meng, J. Chu, and J. Wang, Nat.
Commun. 12(1), 4030 (2021).
58
S. Sakai and R. Ilangovan, IEEE Electron. Device Lett. 25(6), 369 (2004).
59
J. Han and T. Ma, Integr. Ferroelectr. 27(1–4), 9 (1999).
60
K. S. Takahashi, M. Gabay, D. Jaccard, K. Shibuya, T. Ohnishi, M. Lippmaa,
and J. M. Triscone, Nature 441(7090), 195 (2006).
61
X. Hong, A. Posadas, K. Zou, C. H. Ahn, and J. Zhu, Phys. Rev. Lett. 102(13),
136808 (2009).
62
E. B. Song, B. Lian, S. Min Kim, S. Lee, T.-K. Chung, M. Wang, C. Zeng, G.
Xu, K. Wong, Y. Zhou, H. I. Rasool, D. H. Seo, H.-J. Chung, J. Heo, S. Seo,
and K. L. Wang, Appl. Phys. Lett. 99(4), 042109 (2011).
63
Y. G. Xiao, Z. J. Chen, M. H. Tang, Z. H. Tang, S. A. Yan, J. C. Li, X. C. Gu,
Y. C. Zhou, and X. P. Ouyang, Appl. Phys. Lett. 101(25), 253511 (2012).
64
K. Jang, N. Ueyama, M. Kobayashi, and T. Hiramoto, IEEE J. Electron
Devices Soc. 6, 346 (2018).
65
J. X. Guo, Y. Liu, Y. Lin, Y. Tian, J. X. Zhang, T. X. Gong, T. D. Cheng, W.
Huang, and X. S. Zhang, Nanoscale 11(43), 20868 (2019).
66
P. Debye, Phys. Z. 13, 97 (1912).
67
C. Randall, R. Newnham, and L. Cross, History of the First Ferroelectric
Oxide, BaTiO
3
(The Pennsylvania State University, University Park, PA,
2004), p. 1.
68
A. Von Hippel, R. Breckenridge, F. Chesley, and L. Tisza, Ind. Eng. Chem.
38(11), 1097 (1946).
69
E. Sawaguchi, J. Phys. Soc. Jpn. 8(5), 615 (1953).
70
See https://www.fujitsu.com/jp/group/fsm/en/documents/products/fram/over
view/brochure/FUJITSU_memory_AD05-00033-12E.pdf for FUJITSU semi-
conductor FRAM/ReRAM product brochure.
71
K. Heiji, Jpn. J. Appl. Phys., Part 1 8(7), 975 (1969).
72
T. Furukawa, Phase Transitions 18(3–4), 143 (1989).
73
J. M
uller, U. Schr
oder, T. S. B
oscke, I. M
uller, U. B
ottger, L. Wilde, J.
Sundqvist, M. Lemberger, P. K
ucher, T. Mikolajick, and L. Frey, J. Appl. Phys.
110(11), 114113 (2011).
74
J. Muller, T. S. B
oscke, D. Br
auhaus, U. Schr
oder,U.B
ottger, J. Sundqvist,
P. K
ucher, T. Mikolajick, and L. Frey, Appl. Phys. Lett. 99(11), 112901
(2011).
75
T. S. B
oscke, J. M
uller, D. Br
auhaus, U. Schr
oder, and U. B
ottger, Appl. Phys.
Lett. 99(10), 102903 (2011).
76
M. Wu and X. C. Zeng, Nano Lett. 17(10), 6309 (2017).
77
S. Yuan, X. Luo, H. L. Chan, C. Xiao, Y. Dai, M. Xie, and J. Hao, Nat.
Commun. 10(1), 1775 (2019).
78
J. F. Scott and C. De Araujo, Science 246(4936), 1400 (1989).
79
H. Ishiwara, Jpn. J. Appl. Phys., Part 1 32(1S), 442 (1993).
80
S. L. Miller and P. J. McWhorter, J. Appl. Phys. 72(12), 5999 (1992).
81
S. Salahuddin and S. Datta, Nano Lett. 8(2), 405 (2008).
82
M. Kumar and S. Jit, IEEE Trans. Nanotechnol. 14(4), 600 (2015).
83
X. Hong, J. Hoffman, A. Posadas, K. Zou, C. H. Ahn, and J. Zhu, Appl. Phys.
Lett. 97(3), 033114 (2010).
84
Y. Zheng, G.-X. Ni, C.-T. Toh, M.-G. Zeng, S.-T. Chen, K. Yao, and B.
Ozyilmaz, Appl. Phys. Lett. 94(16), 163505 (2009).
Applied Physics Reviews REVIEW scitation.org/journal/are
Appl. Phys. Rev. 10, 011310 (2023); doi: 10.1063/5.0090120 10, 011310-21
V
CAuthor(s) 2023
85
W. Lee, O. Kahya, C. T. Toh, B. Ozyilmaz, and J. H. Ahn, Nanotechnology
24(47), 475202 (2013).
86
X. Wang, M. Tang, Y. Chen, G. Wu, H. Huang, X. Zhao, B. Tian, J. Wang, S.
Sun, H. Shen, T. Lin, J. Sun, X. Meng, and J. Chu, Opt. Quantum Electron.
48(7), 345 (2016).
87
Y. Chen, X. Wang, P. Wang, H. Huang, G. Wu, B. Tian, Z. Hong, Y. Wang, S.
Sun, H. Shen, J. Wang, W. Hu, J. Sun, X. Meng, and J. Chu, ACS Appl. Mater.
Interfaces 8(47), 32083 (2016).
88
M. Su, Z. Y. Yang, L. Liao, X. M. Zou, J. C. Ho, J. L. Wang, J. L. Wang, W. D.
Hu, X. H. Xiao, C. Z. Jiang, C. S. Liu, and T. L. Guo, Adv. Sci. 3(9), 1600078
(2016).
89
S.-Y. Lee, H.-W. Chen, C.-H. Shen, P.-Y. Kuo, C.-C. Chung, Y.-E. Huang,
H.-Y. Chen, and T.-S. Chao, IEEE Trans. Electron Devices 67(2), 711 (2020).
90
J. Y. Son, S. Ryu, Y.-C. Park, Y.-T. Lim, Y.-S. Shin, Y.-H. Shin, and H. Jang,
ACS Nano 4(12), 7315 (2010).
91
D. Damjanovic, Rep. Prog. Phys. 61(9), 1267 (1998).
92
M. Okuyama and Y. Hamakawa, Ferroelectrics 63(1), 243 (1985).
93
T. Yamada, T. Ueda, and T. Kitayama, J. Appl. Phys. 52(2), 948 (1981).
94
W. J. Merz, Phys. Rev. 95(3), 690 (1954).
95
M. Avrami, J. Chem. Phys. 8(2), 212 (1940).
96
Y. shibashi and Y. Takagi, J. Phys. Soc. Jpn. 31(2), 506 (1971).
97
W. Li and M. Alexe, Appl. Phys. Lett. 91(26), 262903 (2007).
98
Y. Kim, H. Han, W. Lee, S. Baik, D. Hesse, and M. Alexe, Nano Lett. 10(4),
1266 (2010).
99
H. Mulaosmanovic, J. Ocker, S. Muller, U. Schroeder, J. Muller, P.
Polakowski, S. Flachowsky, R. Bentum, T. Mikolajick, and S. Slesazeck, ACS
Appl. Mater. Interfaces 9(4), 3792 (2017).
100
G. Busch and P. Scherrer, Ferroelectrics 71(1), 15 (1987).
101
K. J. Choi, M. Biegalski, Y. Li, A. Sharan, J. Schubert, R. Uecker, P. Reiche, Y.
Chen, X. Pan, and V. J. S. Gopalan, Science 306(5698), 1005 (2004).
102
B. Noheda, J. Gonzalo, L. Cross, R. Guo, S.-E. Park, D. Cox, and G. Shirane,
Phys. Rev. B 61(13), 8687 (2000).
103
W. Li, W. Cao, D. Xu, W. Wang, and W. Fei, J. Alloys Compd. 613, 181
(2014).
104
P. Kumar and M. J. Pattanaik, Ceram. Int. 39(1), 65 (2013).
105
U. Schroeder, C. Richter, M. H. Park, T. Schenk, M. Pesic, M. Hoffmann, F. P.
G. Fengler, D. Pohl, B. Rellinghaus, C. Zhou, C. C. Chung, J. L. Jones, and T.
Mikolajick, Inorg. Chem. 57(5), 2752 (2018).
106
Q. Shao, X. Wang, W. Jiang, Y. Chen, X. Zhang, L. Tu, T. Lin, H. Shen, X.
Meng, A. Liu, and J. Wang, Appl. Phys. Lett. 115(16), 162902 (2019).
107
J. W. Lee, Y. Takase, B. A. Newman, and J. I. Scheinbeim, J. Polym. Sci., Part
B: Polym. Phys. 29(3), 279 (1991).
108
A. Solomon, Phys. Rev. 104(4), 1191 (1956).
109
B. Matthias, C. Miller, and J. Remeika, Phys. Rev. 104(3), 849 (1956).
110
Q. Pan, S. Tasaka, and N. Inagaki, Jpn. J. Appl. Phys., Part 2 35(11A), L1442
(1996).
111
A. Lovinger, Science 220(4602), 1115 (1983).
112
S. Anwar, D. Pinkal, W. Zajaczkowski, P. von Tiedemann, H. Sharifi Dehsari,
M. Kumar, T. Lenz, U. Kemmer-Jonas, W. Pisula, M. Wagner, R. Graf, H.
Frey, and K. Asadi, Sci. Adv. 5(8), eaav3489 (2019).
113
P. Tiedemann, S. Anwar, U. Kemmer-Jonas, K. Asadi, and H. Frey, Macromol.
Chem. Phys. 221(5), 1900468 (2020).
114
T. Itoh, T. Tanaka, M. Hashimoto, and T. Konishi, Jpn. J. Appl. Phys., Part 1
34(11R), 6164 (1995).
115
Y. Takase, J. W. Lee, J. I. Scheinbeim, and B. A. Newman, Macromolecules
24(25), 6644 (1991).
116
A. Yanaka, W. Sakai, K. Kinashi, and N. Tsutsumi, J. Appl. Polym. Sci.
137(10), 48438 (2020).
117
S. Anwar, B. Jeong, M. Abolhasani, W. Zajaczkowski, M. Amiri, and K. Asadi,
J. Mater. Chem. C 8(16), 5535 (2020).
118
H. Nalwa, Ferroelectric Polymers: Chemistry: Physics, and Applications (CRC
Press, 1995).
119
G. Goldsmith and J. White, J. Chem. Phys. 31(5), 1175 (1959).
120
S. Horiuchi, Y. Tokunaga, G. Giovannetti, S. Picozzi, H. Itoh, R. Shimano, R.
Kumai, and Y. Tokura, Nature 463(7282), 789 (2010).
121
S. Horiuchi, F. Kagawa, K. Hatahara, K. Kobayashi, R. Kumai, Y. Murakami,
and Y. Tokura, Nat. Commun. 3(1), 1308 (2012).
122
S. Hoshino, T. Mitsui, F. Jona, and R. Pepinsky, Phys. Rev. 107(5), 1255
(1957).
123
P. M. Heyman and G. H. Heilmeier, Proc. Inst. Electr. Electron. Eng. 54(6),
842 (1966).
124
D. Fu, W. Zhang, H. Cai, J. Ge, Y. Zhang, and R. Xiong, Adv. Mater. 23(47),
5658 (2011).
125
D. Fu, H. Cai, Y. Liu, Q. Ye, W. Zhang, Y. Zhang, X. Chen, G. Giovannetti, M.
Capone, and J. Li, Science 339(6118), 425 (2013).
126
W. Zhang, Y. Tang, P. Li, P. Shi, W. Liao, D. Fu, H. Ye, Y. Zhang, and R.
Xiong, J. Americould Chem. Soc. 139(31), 10897 (2017).
127
P. Shi, S. Lu, X. Song, X. Chen, W. Liao, P. Li, Y. Tang, and R. Xiong,
J. Americould Chem. Soc. 141(45), 18334 (2019).
128
H. Jaffe, J. Am. Ceram. Soc. 41(11), 494 (1958).
129
H. Du, F. Tang, D. Liu, D. Zhu, W. Zhou, and S. Qu, J. Mater. Sci. Eng. B
136(2–3), 165 (2007).
130
Y. Guo, K.-I. Kakimoto, and H. Ohsato, Mater. Lett. 59(2–3), 241 (2005).
131
T. Yang, Y. Liu, L. Zhang, M. Hu, Q. Yang, Z. Huang, and M. Fang, Adv.
Powder Technol. 25(3), 933 (2014).
132
S. Nanamatsu, M. Kimura, K. Doi, S. Matsushita, and N. Yamada,
Ferroelectrics 8(1), 511 (1974).
133
H. Jaffe and D. Berlincourt, Proc. IEEE 53(10), 1372 (1965).
134
D. Chen, T. Murphy, and J. Phillips, Thin Solid Films 491(1–2), 301 (2005).
135
V. Afanasjev, A. Petrov, I. Pronin, E. Tarakanov, E. J. Kaptelov, and J. Graul,
J. Phys.: Condens. Matter 13(39), 8755 (2001).
136
G. H. Haertling and C. E. Land, J. Am. Ceram. Soc. 54(1), 1 (1971).
137
Q. Jiang and L. Cross, J. Mater. Sci. 28(16), 4536 (1993).
138
A. Hall, M. Allahverdi, E. Akdogan, and A. Safari, J. Eur. Ceram. Soc. 25(12),
2991 (2005).
139
L. Wang, R. Liang, C. Mao, G. Du, G. Wang, and X. Dong, Ceram. Int. 39(7),
8571 (2013).
140
H. Tabata, O. Murata, T. Kawai, S. Kawai, and M. Okuyama, Appl. Phys. Lett.
64(4), 428 (1994).
141
D. Bordeaux, J. Bornarel, A. Capiomont, J. Lajzerowicz-Bonneteau, J.
Lajzerowicz, and J. F. Legrand, Phys. Rev. Lett. 31(5), 314 (1973).
142
H. Schultes, P. Strohriegl, and E. Dormann, Ferroelectrics 70(1), 161 (1986).
143
P. Gruner-Bauer and E. Dormann, J. Phys.: Condens. Matter 4(25), 5599
(1992).
144
L. Li, Z. Sun, P. Wang, W. Hu, S. Wang, C. Ji, M. Hong, and J. Luo, Angew.
Chem. 129(40), 12318 (2017).
145
J. Rodriguez, W. Murray, K. Fujisawa, S. Lee, A. Kotrick, Y. Chen, N. Mckee,
S. Lee, M. Terrones, and S. McKinstry, Appl. Phys. Lett. 117(5), 052901
(2020).
146
Y. Li, M. Gong, and H. Zeng, J. Semicond. 40(6), 061002 (2019).
147
K. Kwon, Y. Zhang, L. Wang, W. Yu, X. Wang, I. Park, H. Choi, T. Ma, Z.
Zhu, and B. Tian, ACS Nano 14(6), 7628 (2020).
148
A. Tan, Y. Liao, L. Wang, N. Shanker, J. Bae, C. Hu, and S. Salahuddin, IEEE
Electron Device Lett. 42(7), 994 (2021).
149
J. Muller, T. S. Boscke, U. Schroder, R. Hoffmann, T. Mikolajick, and L. Frey,
IEEE Electron Device Lett. 33(2), 185 (2012).
150
G. Lee, E. Tokumitsu, S. Yoon, Y. Fujisaki, J. Yoon, and H. Ishiwara, Appl.
Phys. Lett. 99(1), 012901 (2011).
151
A. I. Khan, K. Chatterjee, J. P. Duarte, Z. Lu, A. Sachid, S. Khandelwal, R.
Ramesh, C. Hu, and S. Salahuddin, IEEE Electron Device Lett. 37(1), 111
(2016).
152
I. Katsouras, D. Zhao, M. J. Spijkman, M. Li, P. W. Blom, D. M. de Leeuw, and
K. Asadi, Sci. Rep. 5, 12094 (2015).
153
P. Larsen, G. Spierings, R. Cuppens, and G. Dormans, Microelectron. Eng.
22(1–4), 53 (1993).
154
See <https://www.fujitsu.com/jp/group/fsm/en/about/resources/news/press-
releases/2021/0706.html>for “Fujitsu starts mass-production of 4Mbit FRAM
with 125 C operation conforming to automotive grade,” 2021.
155
See <https://www.cypress.com/products/f-ram-nonvolatile-ferroelectric-
ram>for “Ferroelectric RAM (F-RAM) Non-volatile Memory-infineon,”
2021.
156
I. M. Ross, U.S. patent 2,791,760 (1957).
157
A. Tripathi, A. Breemen, J. Shen, Q. Gao, M. Ivan, K. Reimann, E. Meinders,
and G. Gelinck, Adv. Mater. 23(36), 4146 (2011).
Applied Physics Reviews REVIEW scitation.org/journal/are
Appl. Phys. Rev. 10, 011310 (2023); doi: 10.1063/5.0090120 10, 011310-22
V
CAuthor(s) 2023
158
B. Kam, X. Li, C. Cristoferi, E. Smits, A. Mityashin, S. Schols, J. Genoe, G.
Gelinck, and P. Heremans, Appl. Phys. Lett. 101(3), 033304 (2012).
159
S. Hwang, K. Kim, S. Cho, T. Park, B. Jeong, I. Bae, and C. Park, Org.
Electron. 75, 105394 (2019).
160
M. Amiri, J. Heidler, K. M
ullen, P. Gkoupidenis, and K. Asadi, Adv. Funct.
Mater. 30(34), 2003085 (2020).
161
T. Zhu, L. Lu, and M. Lai, Appl. Phys. A 81(4), 701 (2005).
162
S. H. Lim, A. C. Rastogi, and S. B. Desu, J. Appl. Phys. 96(10), 5673 (2004).
163
S. Cheema, D. Kwon, N. Shanker, R. Dos Reis, S. L. Hsu, J. Xiao, H. Zhang, R.
Wagner, A. Datar, M. R. McCarter, C. R. Serrao, A. K. Yadav, G. Karbasian, C.
Hsu, A. Tan, L. Wang, V. Thakare, X. Zhang, A. Mehta, E. Karapetrova, R.
Chopdekar, P. Shafer, E. Arenholz, C. Hu, R. Proksch, R. Ramesh, J. Ciston,
and S. Salahuddin, Nature 580(7804), 478 (2020).
164
S. D
unkel, M. Trentzsch, R. Richter, P. Moll, C. Fuchs, O. Gehring, M. Majer,
S. Wittek, B. Muller, and T. Melde, paper presented at the 2017 IEEE
International Electron Devices Meeting (IEDM) (2017).
165
T. Ma and J. P. Han, IEEE Electron Device Lett. 23(7), 386 (2002).
166
X. Wang, C. Zhu, Y. Deng, R. Duan, J. Chen, Q. Zeng, J. Zhou, Q. Fu, L. You,
S. Liu, J. H. Edgar, P. Yu, and Z. Liu, Nat. Commun. 12(1), 1109 (2021).
167
R. Naber, K. Asadi, P. Blom, D. de Leeuw, and B. Boer, Adv. Mater. 22(9), 933
(2010).
168
V. Bhavanasi, V. Kumar, K. Parida, J. Wang, and P. S. Lee, ACS Appl. Mater.
Interfaces 8(1), 521 (2016).
169
Y. Lee, J. Park, S. Cho, Y. E. Shin, H. Lee, J. Kim, J. Myoung, S. Cho, S. Kang,
C. Baig, and H. Ko, ACS Nano 12(4), 4045 (2018).
170
E. Li, X. Wu, S. Lan, Q. Yang, Y. Fang, H. Chen, and T. Guo, J. Mater. Chem.
C7(4), 998 (2019).
171
F. Cao, W. Tian, L. Meng, M. Wang, and L. Li, Adv. Funct. Mater. 29(15),
1808415 (2019).
172
R. Naber, C. Tanase, P. Blom, G. Gelinck, A. Marsman, F. Touwslager, S.
Setayesh, and D. de Leeuw, Nat. Mater. 4(3), 243 (2005).
173
M. Kang, S.-A. Lee, S. Jang, S. Hwang, S.-K. Lee, S. Bae, J.-M. Hong, S. H. Lee,
K.-U. Jeong, J. Lim, and T. W. Kim, ACS Appl. Mater. Interfaces 11(25),
22575 (2019).
174
R. Naber, B. Boer, P. Blom, and D. de Leeuw, Appl. Phys. Lett. 87(20), 203509
(2005).
175
M. Khan, U. S. Bhansali, and H. N. Alshareef, Adv. Mater. 24(16), 2165
(2012).
176
R. Schroeder, L. A. Majewski, and M. Grell, Adv. Mater. 16(7), 633 (2004).
177
S. Fabiano, N. Sani, J. Kawahara, L. Kergoat, J. Nissa, I. Engquist, X. Crispin,
and M. Berggren, Sci. Adv. 3(6), e1700345 (2017).
178
K. Myny, S. Steudel, P. Vicca, M. J. Beenhakkers, N. A. J. M. Van Aerle, G.
Gelinck, J. Genoe, W. Dehaene, and P. Heremans, Solid-State Electron.
53(12), 1220 (2009).
179
D. Han and B. Park, in Ferroelectric-Gate Field Effect Transistor Memories:
Device Physics and Applications (Springer, Singapore, 2020), p. 177.
180
X. Wang, C. Liu, Y. Chen, G. Wu, X. Yan, H. Huang, P. Wang, B. Tian, Z.
Hong, Y. Wang, S. Sun, H. Shen, T. Lin, W. Hu, M. Tang, P. Zhou, J. Wang, J.
Sun, X. Meng, J. Chu, and Z. Li, 2D Mater. 4(2), 025036 (2017).
181
H. J. Hwang, J. H. Yang, Y. G. Lee, C. Cho, C. G. Kang, S. C. Kang, W. Park,
and B. H. Lee, Nanotechnology 24(17), 175202 (2013).
182
Y. T. Lee, D. K. Hwang, and S. Im, J. Korean Phys. Soc. 67(9), 1499 (2015).
183
Y. T. Lee, D. K. Hwang, and W. K. Choi, J. Korean Phys. Soc. 69(8), 1347
(2016).
184
Y. J. Doh and G. C. Yi, Nanotechnology 21(10), 105204 (2010).
185
S. Jandhyala, G. Mordi, D. Mao, M. W. Ha, M. A. Quevedo-Lopez, B. E.
Gnade, and J. Kim, Appl. Phys. Lett. 103(2), 022903 (2013).
186
K. Asadi, D. de Leeuw, B. de Boer, and P. Blom, Nat. Mater. 7(7), 547
(2008).
187
W. K. Hong, J. I. Sohn, S. Cha, J. M. Kim, J.-B. Park, S. S. Choi, H. J. Coles,
and M. E. Welland, Appl. Phys. Lett. 102(5), 053504 (2013).
188
S. Yu, P.-Y. Chen, Y. Cao, L. Xia, Y. Wang, and H. Wu, paper presented at the
2015 IEEE International Electron Devices Meeting (IEDM) (2015).
189
T. Gokmen and Y. Vlasov, Front. Neurosci. 10, 333 (2016).
190
S. Ambrogio, N. Ciocchini, M. Laudato, V. Milo, A. Pirovano, P. Fantini, and
D. Ielmini, Front. Neurosci. 10, 56 (2016).
191
M. Cassinerio, N. Ciocchini, and D. Ielmini, Adv. Mater. 25(41), 5975 (2013).
192
F. Miao, J. P. Strachan, J. J. Yang, M.-X. Zhang, I. Goldfarb, A. C. Torrezan, P.
Eschbach, R. D. Kelley, G. Medeiros-Ribeiro, and R. S. Williams, Adv. Mater.
23(47), 5633 (2011).
193
A. Mehonic, S. Cueff, M. Wojdak, S. Hudziak, O. Jambois, C. Labbe, B.
Garrido, R. Rizk, and A. Kenyon, J. Appl. Phys. 111(7), 074507 (2012).
194
K. H. Kim, S. Gaba, D. Wheeler, J. M. Cruz-Albrecht, T. Hussain, N. Srinivasa,
and W. Lu, Nano Lett. 12(1), 389 (2012).
195
Q. A. Vu, H. Kim, N. Van Luan, U. Y. Won, S. Adhikari, K. Kim, Y. H. Lee,
and W. Yu, Adv. Mater. 29(44), 1703363 (2017).
196
S. M. Yoon, S. Yang, S. W. Jung, C. W. Byun, M. K. Ryu, W. S. Cheong, B.
Kim, H. Oh, S. H. Ko Park, C. S. Hwang, S. Y. Kang, H. J. Ryu, and B. G. Yu,
Appl. Phys. A 102(4), 983 (2011).
197
H. Ishiwara, T. Shimamura, and E. Tokumitsu, Jpn. J. Appl. Phys., Part 1
36(3S), 1655 (1997).
198
D. B. Strukov, G. S. Snider, D. R. Stewart, and R. Williams, Nature 453(7191),
80 (2008).
199
S. J. Yoon, S. E. Moon, and S. M. Yoon, Nanoscale 12(25), 13421 (2020).
200
M. Jerry, P.-Y. Chen, J. Zhang, P. Sharma, K. Ni, S. Yu, and S. Datta, paper pre-
sented at the 2017IEEE International Electron Devices Meeting(IEDM) (2017).
201
W. Wu, L. Wang, Y. Li, F. Zhang, L. Lin, S. Niu, D. Chenet, X. Zhang, Y. Hao,
T. F. Heinz, J. Hone, and Z. L. Wang, Nature 514(7523), 470 (2014).
202
J. H. Choi and S. H. Jhi, J. Phys.: Condens. Matter 32(4), 045702 (2020).
203
S. Yu, Proc. IEEE 106(2), 260 (2018).
204
T. Xiao, C. Bennett, B. Feinberg, S. Agarwal, and M. Marinella, Appl. Phys.
Rev. 7(3), 031301 (2020).
205
M. H. Lee, Y. T. Wei, J. C. Lin, C. W. Chen, W. H. Tu, and M. Tang, AIP
Adv. 4(10), 107117 (2014).
206
A. Peschot, C. Qian, and T. Liu, Micromachines 6(8), 1046 (2015).
207
J. Jo, W. Y. Choi, J. D. Park, J. W. Shim, H. Y. Yu, and C. Shin, Nano Lett.
15(7), 4553 (2015).
208
J. Jo and C. Shin, IEEE Electron. Device Lett. 37(3), 245 (2016).
209
S. Khandelwal, J. P. Duarte, A. I. Khan, S. Salahuddin, and C. Hu, IEEE
Electron Device Lett. 38(1), 142 (2017).
210
M. Si, C. J. Su, C. Jiang, N. J. Conrad, H. Zhou, K. D. Maize, G. Qiu, C. T. Wu,
A. Shakouri, and M. A. Alam, Nat. Nanotechnol. 13(1), 24 (2018).
211
D. Kwon, S. Cheema, N. Shanker, K. Chatterjee, Y.-H. Liao, A. J. Tan, C. Hu,
and S. Salahuddin, IEEE Electron Device Lett. 40(6), 993 (2019).
212
Z. C. Yuan, S. Rizwan, M. Wong, K. Holland, S. Anderson, T. B. Hook, D.
Kienle, S. Gadelrab, P. S. Gudem, and M. Vaidyanathan, IEEE Trans. Electron
Devices 63(10), 4046 (2016).
213
W. Cao and K. Banerjee, Nat. Commun. 11(1), 196 (2020).
214
J. A. Kittl, B. Obradovic, D. Reddy, T. Rakshit, R. M. Hatcher, and M. S.
Rodder, Appl. Phys. Lett. 113(4), 042904 (2018).
215
M. Hoffmann, S. Slesazeck, U. Schroeder, and T. Mikolajick, Nat. Electron.
3(9), 504 (2020).
216
X. Wang, Y. Zhang, L. Wang, and X. Chen, J. Infrared Millimeter Waves
41(4), 696 (2022).
217
H. Chandrasekar, T. Razzak, C. Wang, Z. Reyes, K. Majumdar, and S. Rajan,
Adv. Electron. Mater. 6(8), 2000074 (2020).
218
M. Si, C. Su, C. Jiang, N. J. Conrad, H. Zhou, K. D. Maize, G. Qiu, C. Wu, A.
Shakouri, and M. Alam, Steep Slope MoS
2
2D Transistors: Negative
Capacitance and Negative Differential Resistance (Cornell University Library,
2017).
219
F. Wang, J. Liu, W. Huang, R. Cheng, L. Yin, J. Wang, M. G. Sendeku, Y.
Zhang, X. Zhan, and C. Shan, Sci. Bull. 65(17), 1444 (2020).
220
C. Liao, Y. Ding, Y. Zhao, and M. Cai, Appl. Phys. Lett. 119(18), 182903
(2021).
221
S. Wu, Y. Chen, X. Wang, H. Jiao, Q. Zhao, X. Huang, X. Tai, Y. Zhou, H.
Chen, and X. Wang, Nat. Commun. 13(1), 3198 (2022).
222
Y. Jiang, L. Zhang, R. Wang, H. Li, L. Li, S. Zhang, X. Li, J. Su, X. Song, and C.
Xia, ACS Nano 16(7), 11218 (2022).
223
Y. Cai, L. Zhang, J. Jiang, Y. Hu, Z. Chen, R. Jia, C. Sun, and J. Shi, Adv.
Electron. Mater. 8, 2200326 (2022).
224
M. Carroli, A. G. Dixon, M. Herder, E. Pavlica, S. Hecht, G. Bratina, E. Orgiu,
and P. Samori, Adv. Mater. 33(14), e2007965 (2021).
225
S. H. Shin, D. H. Park, J. Y. Jung, M. H. Lee, and J. Nah, ACS Appl. Mater.
Interfaces 9(11), 9233 (2017).
Applied Physics Reviews REVIEW scitation.org/journal/are
Appl. Phys. Rev. 10, 011310 (2023); doi: 10.1063/5.0090120 10, 011310-23
V
CAuthor(s) 2023
226
R. Saraf, L. Pu, and V. Maheshwari, Adv. Mater. 30(9), 1705778 (2018).
227
Y. R. Wu and J. Singh, Appl. Phys. Lett. 85(7), 1223 (2004).
228
M. E. Johnson, Q. Zhang, and A. Wang, Nanomaterials 10(2), 225 (2020).
229
S. Gupta, H. Heidari, A. Vilouras, L. Lorenzelli, and R. Dahiya, IEEE Trans.
Circuits Syst. 63(12), 2200 (2016).
230
R. S. Dahiya, A. Adami, C. Collini, and L. Lorenzelli, Sens. Actuators, A 202,
226 (2013).
231
W. Zhu, X. F. Chen, O. K. Tan, and J. Deng, Integr. Ferroelectr. 44, 25 (2002).
232
M. Zirkl, A. Haase, A. Fian, H. Sch
on, C. Sommer, G. Jakopic, G. Leising, B.
Stadlober, I. Graz, and N. J. A. M. Gaar, Adv. Mater. 19(17), 2241 (2007).
233
Y. R. Lee, T. Q. Trung, B.-U. Hwang, and N. Lee, Nat. Commun. 11(1), 2753
(2020).
234
J. Guo, W. Chen, H. Chen, Y. Zhao, F. Dong, W. Liu, and Y. Zhang, Adv.
Opt. Mater. 9(23), 2002146 (2021).
235
See www.i-micronews.com/products/emerging-non-volatile-memory-2021/
for “Yole Development, Emerging non-volatile memory (market analysis
report),” 2021.
236
See https://irds.ieee.org/editions/2021 for International Roadmap for Devices
and Systems (IEEE, 2021).
237
M. Si et al.,ACS Nano 12, 6700 (2018).
Applied Physics Reviews REVIEW scitation.org/journal/are
Appl. Phys. Rev. 10, 011310 (2023); doi: 10.1063/5.0090120 10, 011310-24
V
CAuthor(s) 2023
... Ferroelectricity was first discovered in Rochelle salts 15 , however, the unstable and water-soluble nature has greatly limited their applications and research. During World War II, the discovery of the ferroelectricity of the chalcogenide material barium titanate (BTO) gave a great impetus to the development of ferroelectric materials for extreme applications, followed by the emergence of many different materials and structures 16 . The characteristic of ferroelectric materials is that spontaneous polarization can be generated within a certain temperature range and the polarization properties are regulated by an external electric field. ...
Preprint
Full-text available
After more than a hundred years of development, ferroelectric materials have demonstrated their strong potential to people, and more and more ferroelectric materials are being used in the research of ferroelectric transistors (FeFETs). As a new generation of neuromorphic devices, ferroelectric materials have attracted people's attention due to their powerful functions and many characteristics. This article summarizes the development of ferroelectric material systems in recent years and discusses the simulation of artificial synapses. The mainstream ferroelectric materials are divided into traditional perovskite structure, fluorite structure, organic polymer, and new 2D van der Waals ferroelectricity. The principles, research progress, and optimization for brain like computers of each material system are introduced, and the latest application progress is summarized. Finally, the scope of application of different material systems is discussed, with the aim of helping people screen out different material systems based on different needs.
... The ferroelectric field-effect transistor (Fe-FET) is an a ractable alternative component for the artificial intelligence (AI) chip, due to the parallel function of data computing and non-volatile storage, the fast read and write speed, and the low power consumption [1,2]. For high integration in advanced semiconductor processing, the nanoscale ferroelectric compound and composite have been intensively studied. ...
Article
Full-text available
There is much interest regarding the “coupled ferroelectricity and superconductivity” in the two-dimensional material, bilayer Td-MoTe2; however, the value and the type of electric polarization are unknown. The device structure and the measurement method show that the measured material is the composite of the pseudo-bilayer quantum Hall system, with a thickness of about thirty-six nanometers. The derived dielectric hysteresis loops and the calculated electronic structure reveal that the condensed large polarons are responsible for the reverse ferroelectricity and the coupled superconductivity. The maximum value of polaron-type electric polarization is ~12 nC/μm² or 1.2 × 10⁴ μc/cm².
... In ferroelectric, the shape of the hysteresis curve is determined according to the remanent polarization Pr and the coercive field Ec, which has a great influence on characteristics such as SS [26][27]. In addition, since remanent polarization Pr and coercive field Ec affect the current-voltage characteristics of FET using ferroelectric, they will eventually affect DIBL. ...
Article
Full-text available
This study presents an analytical model for the drain-induced barrier lowering (DIBL) of a junctionless gate-all-around FET with ferroelectric, utilizing a 2D potential model. A multilayer structure of metal-ferroelectric-metal-insulator-semiconductor is used as the gate, as well as the remanent polarization and coercive field values corresponding to HZO are used. The DIBLs obtained with the proposed model demonstrate good agreement with those obtained using the second derivative method, which relies on the 2D relationship between drain current and gate voltage. The results demonstrate that an increase in ferroelectric thickness leads to a negative DIBL value due to the ferroelectric charge. Additionally, there exists an inverse relationship between ferroelectric thickness and channel length to achieve a DIBL value of 0. This condition is satisfied only with the increase of the ferroelectric thickness as the channel radius and insulator thickness increase. The DIBLs increase with higher remanent polarization and lower coercive field, remaining constant when the ratio of remanent polarization and coercive field is maintained.
Article
Two-dimensional van der Waals ferroelectric materials play an important role in a wide spectrum of semiconductor technologies and device applications. Integration of ferroelectrics into 2D-layered material-based devices is expected to offer intriguing working principles and add desired functionalities for next-generation electronics. Here, we investigate the electric and thermoelectric properties of thin layers of the 2H and 3R polymorphs of α-In2Se3 embedded in solid-state three-terminal devices. Charge transport measurements reveal a hysteretic behavior that can be ascribed to the effect of ferroelectric polarization at the metal electrode/2D semiconductor interfaces. The thermoelectric investigation of the same devices unveils a well-defined negative signal of the order of 100–200 μV/K in absolute value for the 2H polymorph, showing a slight modulation as a function of the gate voltage. An analogous but noisy thermoelectric voltage is measured for devices based on the 3R polymorph, where indeed a constant finite transversal offset in the 100 μV-few mV range is detected, which does not depend on the applied temperature gradient. We argue that these experimental observations are related to a strong residual in-plane ferroelectric polarization in the 3R α-In2Se3 polymorph thin layer. Our results show that the thermoelectric response is a fine probe of the ferroelectric character of 2D layered α-In2Se3.
Article
Full-text available
Future pulsed‐power electronic systems based on dielectric capacitors require the use of environment‐friendly materials with high energy‐storage performance that can operate efficiently and reliably in harsh environments. Here, a study of multilayer structures, combining paraelectric‐like Ba0.6Sr0.4TiO3 (BST) with relaxor‐ferroelectric BaZr0.4Ti0.6O3 (BZT) layers on SrTiO3‐buffered Si substrates, with the goal to optimize the high energy‐storage performance is presented. The energy‐storage properties of various stackings are investigated and an extremely large maximum recoverable energy storage density of ≈165.6 J cm⁻³ (energy efficiency ≈ 93%) is achieved for unipolar charging–discharging of a 25‐nm‐BZT/20‐nm‐BST/910‐nm‐BZT/20‐nm‐BST/25‐nm‐BZT multilayer structure, due to the extremely large breakdown field of 7.5 MV cm⁻¹ and the lack of polarization saturation at high fields in this device. Strong indications are found that the breakdown field of the devices is determined by the outer layers of the multilayer stack and can be increased by improving the quality of these layers. Authors are also able to deduce design optimization rules for this material combination, which can be to a large extend justify by structural analysis. These rules are expected also to be useful for optimizing other multilayer systems and are therefore very relevant for further increasing the energy storage density of capacitors.
Article
Full-text available
Metal oxide‐based photoelectrochemicals (PEC) are promising for solar‐fuel conversion if the issues of excessive charge carrier recombination in bulk can be resolved. Ferroelectric modulation of photoelectrodes is a remedial strategy but is less available due to the contradiction between the screening effect and free carrier collection. This work designed and fabricated a novel ferroelectric‐modulated photocathode (p‐type CuBi2O4/PbZrTiO, CBO/PZT) with gird‐like gold (G‐Au) charge collector under theoretical guidance. Several characterizations, including Multiphysics simulations and optoelectronic and interfacial electronic energy levels calculations, proved the critical role of the G‐Au charge collector in decoupling charge collecting and screening. As a result, the positively polarized photocathode exhibited the best performance with an average H2O2 concentration around 1.5 mol (L h m²)⁻¹. Thus, this work demonstrated the successful integration of ferroelectric in PEC photoelectrodes with improved photocarrier collection using a grid metal collector, which can be broadly applied to other optoelectronic systems.
Article
The rapid progress in bioinspired machine vision supported by intelligent computing has attracted attention of the modern electronics due to their wide applications in image recognition, artificial intelligence, and medical applications. The ferroelectric materials are considered as most reliable for the fabrication of these devices due to their nonvolatile and dense memory capacity as well as stability. Here, we report the neuromorphic vision sensor (NVS) based on a self-grown ferroelectric interfacial HfAlO layer. The unique properties of graphene (Gr) and silicon are integrated through the ferroelectric layer to fabricate the NVS, which can mimic the human eye system. The sensor efficiently demonstrates the basic neural functions including pair-pulsed facilitation, depression, inhibition, and excitation under electric as well as optical stimuli. The optical spike rate (2 Hz–10 Hz) as well as the amplitude (0.5 μW/cm2 -1.5 μW/cm2 ) dependent plasticity, exhibited excellent facilitation and depression index of 1.62% and − 1.86% with the minimum energy consumption of only 3.5 fJ per spike. The high endurance of 1 × 107 cycles confirm its reliability and data security. Our device with excellent features of optical signal detection, information processing, and data storage with its simple structure can be efficiently utilized as an image sensor for robotics and intelligent machine vision systems.
Article
Full-text available
Mix‐dimensional heterojunctions (MDHJs) photodetectors (PDs) built from bulk and 2D materials are the research focus to develop hetero‐integrated and multifunctional optoelectronic sensor systems. However, it is still an open issue for achieving multiple effects synergistic characteristics to boost sensitivity and enrich the prospect in artificial bionic systems. Herein, electrically tunable Te/WSe2 MDHJs phototransistors are constructed, and an ultralow dark current below 0.1 pA and a large on/off rectification ratio of 10⁶ is achieved. Photoconductive, photovoltaic, and photo‐thermoelectric conversions are simultaneously demonstrated by tuning the gate and bias. By these synergistic effects, responsivity and detectivity respectively reach 13.9 A W⁻¹ and 1.37 × 10¹² Jones with 400 times increment. The Te/WSe2 MDHJs PDs can function as artificial bionic visual systems due to the comparable response time to those of the human visual system and the presence of transient positive and negative response signals. This work offers an available strategy for intelligent optoelectronic devices with hetero‐integration and multifunctions.
Article
Full-text available
For hardware artificial intelligence, the central task is to design and develop artificial synapses with needed characteristics. Here, the design and experimental demonstration of a van der Waals (vdW) photo‐ferroelectric synapse are reported. In the photo‐ferroelectric synapse, the synaptic memory is extracted by reading the photocurrent, and written or edited by electrical pulses. The semiconducting vdW organic‐inorganic halide perovskite ((R)‐(–)‐1‐cyclohexylethylammonium)PbI3 (R‐CYHEAPbI3) photo‐ferroelectric serves as the model photo‐ferroelectric channel. Here, the vdW organic layer provides ferroelectric dipole and the PbI6 octahedron is responsible for photon absorption and charge transport. The R‐CYHEAPbI3 photo‐ferroelectric synapse show a writing/reading dynamics with >200 synaptic states, close to 103 on/off ratio, and reasonable endurance and retention characteristics. With the experimentally measured weight dynamics (parallel reading through ferroelectric photovoltaic effect and writing by electrical pulses) of R‐CYHEAPbI3 synapses, the feasibility of using a crossbar circuit to implement classic training and inference of hand‐written digits is presented. An image recognition accuracy of up to 90% is obtained. The demonstration of such a vdW photo‐ferroelectric synapse opens a window in the design of advanced devices for artificial intelligence. The experimental demonstration of a van der Waals photo‐ferroelectric synapse is reported. In our photo‐ferroelectric synapse, the synaptic memory is extracted by reading the photocurrent, and written by electrical pulses.
Article
Full-text available
With the further miniaturization and integration of multi-dimensional optical information detection devices, polarization-sensitive photodetectors based on anisotropic low-dimension materials have attractive potential applications. However, the performance of these devices is restricted by intrinsic property of materials leading to a small polarization ratio of the detectors. Here, we construct a black phosphorus (BP) homojunction photodetector defined by ferroelectric domains with ultra-sensitive polarization photoresponse. With the modulation of ferroelectric field, the BP exhibits anisotropic dispersion changes, leading an increased photothermalelectric (PTE) current in the armchair (AC) direction. Moreover, the PN junction can promote the PTE current and accelerate carrier separation. As a result, the BP photodetector demonstrates an ultrahigh polarization ratio (PR) of 288 at 1450 nm incident light, a large photoresponsivity of 1.06 A/W, and a high detectivity of 1.27 × 1011 cmHz1/2W−1 at room temperature. This work reveals the great potential of BP in future polarized light detection. Integrated polarization-sensitive photodetectors are important for sensing applications and optical communication. Here, the authors report the realization of 2D black phosphorus homojunction photodetectors defined by ferroelectric substrates, showing polarization ratios up to 288 and high responsivity in the near-infrared.
Article
Full-text available
Van der Waals integration with abundant two-dimensional materials provides a broad basis for assembling functional devices. In a specific van der Waals heterojunction, the band alignment engineering is crucial and feasible to realize high performance and multifunctionality. Here, we design a ferroelectric-tuned van der Waals heterojunction device structure by integrating a GeSe/MoS2 VHJ and poly (vinylidene fluoride-trifluoroethylene)-based ferroelectric polymer. An ultrahigh electric field derived from the ferroelectric polarization can effectively modulate the band alignment of the GeSe/MoS2 heterojunction. Band alignment transition of the heterojunction from type II to type I is demonstrated. The combination of anisotropic GeSe with MoS2 realizes a high-performance polarization-sensitive photodetector exhibiting low dark current of approximately 1.5 pA, quick response of 14 μs, and high detectivity of 4.7 × 1012 Jones. Dichroism ratios are also enhanced by ferroelectric polarization in a broad spectrum from visible to near-infrared. The ferroelectric-tuned GeSe/MoS2 van der Waals heterojunction has great potential for multifunctional detection applications in sophisticated light information sensing. More profoundly, the ferroelectric-tuned van der Waals heterojunction structure provides a valid band-engineering approach to creating versatile devices. Band alignment engineering is important to realize high performance and multifunctionality in a specific van der Waals heterojunction. Here, the authors observe band alignment transition of the heterojunction in a ferroelectric-tuned van der Waals heterojunction device with high performance.
Article
Full-text available
Organic transistors are key elements for flexible, wearable, and biocompatible logic applications. Multiresponsivity is highly sought-after in organic electronics to enable sophisticated operations and functions. Such a challenge can be pursued by integrating more components in a single device, each one responding to a specific external stimulus. Here, the first multiresponsive organic device based on a photochromic-ferroelectric organic field-effect transistor, which is capable of operating as nonvolatile memory with 11 bit memory storage capacity in a single device, is reported. The memory elements can be written and erased independently by means of light or an electric field, with accurate control over the readout signal, excellent repeatability, fast response, and high retention time. Such a proof of concept paves the way toward enhanced functional complexity in optoelectronics via the interfacing of multiple components in a single device, in a fully integrated low-cost technology compatible with flexible substrates.
Article
Full-text available
Manipulation of ferroelectric polarization and associated domain is at the heart of ferroelectric‐based applications. Polarization switching is typically achieved by a static or pulsed electric field, which however suffers from limitations associated with the requirement of circuitry access and the sluggish switching time. To circumvent abovementioned challenges, significant effort has been devoted to optical control of ferroelectric polarization, which can provide tremendous potential to develop ferroelectric devices with more freedom and to extend transient operation down to picosecond time scale. In this review, both the phenomena and the mechanism of optical control over polarization and associated domain evolution are explored in various kinds of ferroelectrics, including oxide perovskites, van der Waals ferroelectrics, and ferroelectric heterostructures, etc. All‐optical fabrication of 3D nonlinear photonic crystals based on femtosecond laser‐induced ferroelectric domain inversion is presented. In particular, some intriguing examples of terahertz field‐induced polarization reversal and paraelectric‐to‐ferroelectric phase transition are highlighted. The review concludes with a brief summary of the related branches, and discusses the challenges as well as the potential directions of this emerging field.
Article
Full-text available
The limited memory retention for a ferroelectric field-effect transistor has prevented the commercialization of its nonvolatile memory potential using the commercially available ferroelectrics. Here, we show a long-retention ferroelectric transistor memory cell featuring a metal-ferroelectric-metal-insulator-semiconductor architecture built from all van der Waals single crystals. Our device exhibits 17 mV dec⁻¹ operation, a memory window larger than 3.8 V, and program/erase ratio greater than 10⁷. Thanks to the trap-free interfaces and the minimized depolarization effects via van der Waals engineering, more than 10⁴ cycles endurance, a 10-year memory retention and sub-5 μs program/erase speed are achieved. A single pulse as short as 100 ns is enough for polarization reversal, and a 4-bit/cell operation of a van der Waals ferroelectric transistor is demonstrated under a 100 ns pulse train. These device characteristics suggest that van der Waals engineering is a promising direction to improve ferroelectronic memory performance and reliability for future applications.
Article
Ferroelectric field-effect transistors (Fe-FET) are promising candidates for future information devices. However, they suffer from low endurance and short retention time, which retards the application of processing memory in the same physical processes. Here, inspired by the ferroelectric proximity effects, we design a reconfigurable two-dimensional (2D) MoS2 transistor featuring with asymmetric ferroelectric gate, exhibiting high memory and logic ability with a program/erase ratio of over 106 and a self-rectifying ratio of 103. Interestingly, the robust electric and optic cycling are obtained with a large switching ratio of 106 and nine distinct resistance states upon optical excitation with excellent nonvolatile characteristics. Meanwhile, the operation of memory mimics the synapse behavior in response to light spikes with different intensity and number. This design realizes an integration of robust processing memory in one single device, which demonstrates a considerable potential of an asymmetric ferroelectric gate in the development of Fe-FETs for logic processing and nonvolatile memory applications.
Article
Ferroelectricity is an important source of the fascinating optoelectronic properties of heterostructures. Interfaces formed between ferroelectrics and oxides or transition metal dichalcogenides have undergone rapid development. However, the integration of ferroelectrics and two-dimensional Ruddlesden–Popper (RP) perovskites has rarely been studied so far. Herein, we use first-principle calculations to investigate ferroelectric polarization and electric field control of band alignments within the RP perovskite-based heterostructure α-In2Se3/Cs2SnI2Cl2. Our calculations demonstrate that the band alignment of heterostructure can be changed from type-II to type-III by switching the ferroelectric polarization direction in the α-In2Se3 layer. Furthermore, application of an external electric field can modulate the band structure of the α-In2Se3/Cs2SnI2Cl2 heterostructure and induce the band alignment transition. These findings highlight the importance of ferroelectric polarization switching in band alignment engineering and suggest the possibility of electric field-tunable multi-band alignment in Ruddlesden–Popper perovskite-based heterostructures.
Article
The rapid development of the artificial intelligence field has increased the demand for retina-inspired neuromorphic vision sensors with integrated sensing, memory, and processing functions. Here, we present a neuromorphic vision sensor with an optoelectronic transistor structure consisting of monolayer molybdenum disulfide and barium titanate ferroelectric film. Beyond conventional electrical tuning of ferroelectric polarization, the optoelectronic transistor can exhibit a light-dosage tunable synaptic behavior with a high switching ratio and good non-volatility, enabled by photo-induced ferroelectric polarization reversal. The wavelength-dependent optical sensing and multi-level optical memory properties are utilized to achieve the in-sensor neuromorphic visual pre-processing. A simulated artificial neural network built from the proposed vision sensors with neuromorphic pre-processing function demonstrated that the image recognition rate for the Modified National Institute of Standards and Technology (MNIST) handwritten dataset could be significantly improved by reducing redundant data. The obtained results suggest that 2D semiconductor/ferroelectric optoelectronic transistors can provide a promising hardware implementation towards constructing high-performance neuromorphic visual systems
Article
We demonstrate ferroelectric (FE) memory transistors on a crystalline silicon channel with endurance exceeding 10 <sup xmlns:mml="http://www.w3.org/1998/Math/MathML" xmlns:xlink="http://www.w3.org/1999/xlink">10</sup> cycles. The ferroelectric transistors (FeFETs) incorporate a high- $\kappa $ interfacial layer (IL) of thermally grown silicon nitride (SiN <sub xmlns:mml="http://www.w3.org/1998/Math/MathML" xmlns:xlink="http://www.w3.org/1999/xlink">x</sub> ) and a thin 4.5 nm layer of Zr-doped FE-HfO <sub xmlns:mml="http://www.w3.org/1998/Math/MathML" xmlns:xlink="http://www.w3.org/1999/xlink">2</sub> (HZO) on a ~30 nm silicon on insulator (SOI) channel. The device shows a ~1V memory window (MW) in a DC sweep of just ± 2.5V, and can be programmed and erased with voltage pulses of $\text {V}_{\text {G}}= \pm \,\,3\text{V}$ at a pulse width of 250 ns. The device also shows very good retention behavior. These results indicate that appropriate engineering of the IL layer could substantially improve FeFET device performance and reliability.