Article

Sub-5 nm Lithography with Single GeV Heavy Ions Using Inorganic Resist

Authors:
To read the full-text of this research, you can request a copy directly from the authors.

Abstract

In this work, we demonstrate a process having the capability to realize single-digit nanometer lithography using single heavy ions. By adopting 2.15 GeV 86Kr26+ ions as the exposure source and hydrogen silsesquioxane (HSQ) as a negative-tone inorganic resist, ultrahigh-aspect-ratio nanofilaments with sub-5 nm feature size, following the trajectory of single heavy ions, were reliably obtained. Control experiments and simulation analysis indicate that the high-resolution capabilities of both HSQ resist and the heavy ions contribute the sub-5 nm fabrication result. Our work on the one hand provides a robust evidence that single heavy ions have the potential for single-digit nanometer lithography and on the other hand proves the capability of inorganic resists for reliable sub-5 nm patterning. Along with the further development of heavy-ion technology, their ultimate patterning resolution is supposed to be more accessible for device prototyping and resist evaluation at the single-digit nanometer scale.

No full-text available

Request Full-text Paper PDF

To read the full-text of this research,
you can request a copy directly from the authors.

... This is because the method used here is already compatible with CMOS fabrication processes and can be further developed when advanced nanolithography techniques are well established in the near future. In other words, if the intentional patterning of the regularly undulated sub-5 nm Si nanowire is available when using the advanced lithography techniques (e.g., extreme ultraviolet lithography [42,43], heavy-ion lithography [44], scanning probe lithography [45], block copolymer self-assembly [46], etc.), one can easily control the number, sizes, and sites of the Si QDs by employing the PADOX process method as well. ...
... This would, in turn, smear out the CBO features at the higher V G region. treme ultraviolet lithography [42,43], heavy-ion lithography [44], scanning probe lithography [45], block copolymer self-assembly [46], etc.), one can easily control the number, sizes, and sites of the Si QDs by employing the PADOX process method as well. Next, we interpreted the QD nature inside the volumetrically undulated ultra-narrow Si nanowire channel. ...
Article
Full-text available
The transport characteristics of a gate-all-around Si multiple-quantum-dot (QD) transistor were studied by means of experimental parametrization using theoretical models. The device was fabricated by using the e-beam lithographically patterned Si nanowire channel, in which the ultrasmall QDs were self-created along the Si nanowire due to its volumetric undulation. Owing to the large quantum-level spacings of the self-formed ultrasmall QDs, the device clearly exhibited both Coulomb blockade oscillation (CBO) and negative differential conductance (NDC) characteristics at room temperature. Furthermore, it was also observed that both CBO and NDC could evolve along the extended blockade region within wide gate and drain bias voltage ranges. By analyzing the experimental device parameters using the simple theoretical single-hole-tunneling models, the fabricated QD transistor was confirmed as comprising the double-dot system. Consequently, based on the analytical energy-band diagram, we found that the formation of ultrasmall QDs with imbalanced energetic natures (i.e., imbalanced quantum energy states and their imbalanced capacitive-coupling strengths between the two dots) could lead to effective CBO/NDC evolution in wide bias voltage ranges.
... Some researchers added DOE to refractive lenses to improve the optical performance of dispersive lenses owing to their unique focusing and imaging capabilities [9][10][11][12]. Researches indicate that the chromatic dispersion of a DOE is at least one order of magnitude greater than that of an equivalent refractive lens [13]. ...
Article
Full-text available
A novel chromatic confocal measurement (CCM) method using a hybrid diffractive- refractive lens is presented. This hybrid diffractive- refractive lens is designed to optimize the linearity of chromatic dispersion and minimize the size of the optical system. The hybrid diffractive- refractive lens is fabricated by etching a diffractive surface onto a quartz aspheric lens through lithography, which combines the high numerical aperture (NA) of a refractive lens with the unique dispersion properties of the diffractive optical elements (DOE). The lens is incorporated as a dispersive objective lens in a CCM experimental system. The system has a measurement range of 514.8 µm, calibrated using a laser displacement interferometer. The experimental results show that the wavelength-to-axial position coding of the CCM system achieves high linearity (R²= 0.9999) in the working wavelength range (500-700 nm). The system has an axial resolution of 0.08 µm and a displacement measurement nonlinear error of less than 2.05 µm.
... Addressing such challenges, scholars have explored the use of Kr 26+ as an exposure source, yielding a smaller feature size for HSQ. This development provides valuable insights for the future advancement of EBL-POSS-based photoresists [55]. ...
Article
Full-text available
This paper offers a comprehensive overview of the polyhedral oligomeric silsesquioxane (POSS) and POSS-based composites within the realm of photoresist resin. The study involves a systematic exploration and discussion of the contributions made by POSS across various lithographic systems, with specific emphasis on critical parameters such as film formation, sensitivity, resolution, solubility, and edge roughness. These lithographic systems encompass X-ray lithography (XRL), deep ultraviolet nanoimprint lithography (DUV-NIL), extreme ultraviolet lithography (EUV), and guided self-assembled lithography (DSA). The principal objective of this paper is to furnish valuable insights into the development and utilization of POSS-based photoresist materials in diverse lithographic contexts.
... 1,2 A promising strategy for this purpose is atomic-scale patterning with controllable QDSs. 3 Recent progress in atomic-resolution nanopatterning involves either a top-down or bottom-up strategy. For topdown approaches, state-of-the-art lithography techniques like scanning probe lithography, 4−7 electron-and ion-beam lithography, 8,9 and nanoimprinting lithography 10 enable nanometer scale surface patterning with observable QDSs. Additionally, gate-induced local electron-gas depletion further allows manipulation of individual QD with controllable electron spins. ...
Article
Nanopatterns at near atomic dimensions with controllable quantum dot states (QDSs) are promising candidates for the continued downscaling of electronic devices. Herein, we report a phase transition-induced QD system achieved on the √3 × √3-Bi/Si(111) surface reconstruction, which points the way to a novel strategy on QDS implementation. Combining scanning tunneling microscopy, scanning tunneling spectroscopy, and density functional theory (DFT) calculations, the structure, energy dispersion, and size effect on band gap of the QDs are measured and verified. As-created QDs can be manipulated with a dot size down to 2 nm via Bi phase transformation, which, in turn, is triggered by thermal annealing at 700 K. The transition mechanism is also supported by our DFT calculations, and an empirical analytical model is developed to predict the transformation kinetics.
... To release this issue, therefore, the advanced sub-5 nm patterning techniques can be suggested as feasible ways to improve the device homogeneity. For example, recent advances in nanofabrication technology, such as scanning probe lithography [40], heavy ion lithography [41], extreme ultraviolet lithography [42,43], block copolymer self-assembly [44], may allow the precise undulation of the Si nanowire because these methods enable us to control both the fine size and the exact site of the sub-5 nm patterns. ...
Article
Full-text available
The high-performance room-temperature-operating Si single-electron transistors (SETs) were devised in the form of the multiple quantum-dot (MQD) multiple tunnel junction (MTJ) system. The key device architecture of the Si MQD MTJ system was self-formed along the volumetrically undulated [110] Si nanowire that was fabricated by isotropic wet etching and subsequent oxidation of the e-beam-lithographically patterned [110] Si nanowire. The strong subband modulation in the volumetrically undulated [110] Si nanowire could create both the large quantum level spacings and the high tunnel barriers in the Si MQD MTJ system. Such a device scheme can not only decrease the cotunneling effect, but also reduce the effective electron temperature. These eventually led to the energetic stability for both the Coulomb blockade and the negative differential conductance characteristics at room temperature. The results suggest that the present device scheme (i.e., [110] Si MQD MTJ) holds great promise for the room-temperature demonstration of the high-performance Si SETs.
... resist-based lithographic methods, mainly including photolithography and maskless direct writing, are the most sophisticated and important [1][2][3][4][5][6][7]. Conventional resist patterning processes depend on selective-area scission or crosslinking of resist molecules under exposure to an energy beam. ...
Article
Full-text available
Resist-based patterning solutions play essential roles in modern micro- and nanoscale science and technology. The commonly used ‘resist’ patterning strategy depends on selective-area scission or crosslinking of resist molecules under the action of an energy beam. In this work, we propose and demonstrate a different resist patterning strategy, termed ‘resist nanokirigami’, in which the resist structures are defined by their outlines and revealed by selective mechanical peeling of the unwanted resist film. Unlike conventional resist-based patterning processes, the final resist-nanokirigami structures do not undergo exposure and the exposure area is dramatically reduced. With these two advantages, a variety of functional structures that are difficult or impossible to fabricate by conventional processes, such as inverse nanostructures and their oligomers, multiscale electrodes, and freestanding plasmonic nanogaps, can be easily achieved with much higher efficiency. Thus, with its unique and complementary capabilities, the resist nanokirigami process provides a new patterning solution that expands the family of lithography techniques and will play a significant role in fabricating multiscale functional structures.
... In their work, the suppression of electron scatterings is a key factor for achieving such high-resolution patterning by adopting ultrathin resist films and freestanding membrane substrates. Yang et al demonstrated sub-10 nm nested-L features based on an HSQ resist using salty developer with high development contrast [118][119][120]. The results are shown in figure 7(b). ...
Article
Full-text available
Reliable fabrication of micro/nanostructures with sub-10 nm features is of great significance for advancing nanoscience and nanotechnology. While the capability of current complementary metal-oxide semiconductor (CMOS) chip manufacturing can produce structures on the sub-10 nm scale, many emerging applications, such as nano-optics, biosensing, and quantum devices, also require ultrasmall features down to single digital nanometers. In these emerging applications, CMOS-based manufacturing methods are currently not feasible or appropriate due to the considerations of usage cost, material compatibility, and exotic features. Therefore, several specific methods have been developed in the past decades for different applications. In this review, we attempt to give a systematic summary on sub-10 nm fabrication methods and their related applications. In the first and second parts, we give a brief introduction of the background of this research topic and explain why sub-10 nm fabrication is interesting from both scientific and technological perspectives. In the third part, we comprehensively summarize the fabrication methods and classify them into three main approaches, including lithographic, mechanics-enabled, and post-trimming processes. The fourth part discusses the applications of these processes in quantum devices, nano-optics, and high-performance sensing. Finally, a perspective is given to discuss the challenges and opportunities associated with this research topic. © 2021 The Author(s). Published by IOP Publishing Ltd on behalf of the IMMT
Article
Full-text available
With the development of bionics as well as materials science, intelligent soft actuators have shown promising applications in many fields such as soft robotics, sensing, and remote manipulation. Microfabrication technologies have enabled the reduction of the size of responsive soft actuators to the micron level. However, it is still challenging to construct microscale actuators capable of responding to different external stimuli in complex and diverse conditions. Here, this work demonstrates a dual‐stimuli cooperative responsive hydrogel microactuator by asymmetric fabrication via femtosecond laser direct writing. The dual response of the hydrogel microstructure is achieved by employing responsive hydrogel with functional monomer 2‐(dimethylamino)ethyl methacrylate. Raman spectra of the hydrogel microstructures suggest that the pH and temperature response of the hydrogel is generated by the changes in tertiary amine groups and hydrogen bonds, respectively. The asymmetric hydrogel microstructures show opposite bending direction when being heated to high temperature or exposed to acid solution, and can independently accomplish the grasp of polystyrene microspheres. Moreover, this work depicts the cooperative response of the hydrogel microactuator to pH and temperature at the same time. The dual‐stimuli cooperative responsive hydrogel microactuators will provide a strategy for designing and fabricating controllable microscale actuators with promising applications in microrobotics and microfluidics.
Article
Full-text available
Focused ion beam (FIB) is an effective tool for precise nanoscale fabrication. It has recently been employed to tailor defect engineering in functional nanomaterials such as two-dimensional transition metal dichalcogenides (TMDCs), providing desirable properties in TMDC-based optoelectronic devices. However, the damage caused by the FIB irradiation and milling process to these delicate, atomically thin materials, especially in extended areas beyond the FIB target, has not yet been fully characterised. Understanding the correlation between lateral ion beam effects and optical properties of 2D TMDCs is crucial in designing and fabricating high-performance optoelectronic devices. In this work, we investigate lateral damage in large-area monolayer WS 2 caused by the gallium focused ion beam milling process. Three distinct zones away from the milling location are identified and characterised via steady-state photoluminescence (PL) and Raman spectroscopy. The emission in these three zones have different wavelengths and decay lifetimes. An unexpected bright ring-shaped emission around the milled location has also been revealed by time-resolved PL spectroscopy with high spatial resolution. Our findings open up new avenues for tailoring the optical properties of TMDCs by charge and defect engineering via focused ion beam lithography. Furthermore, our study provides evidence that while some localised damage is inevitable, distant destruction can be eliminated by reducing the ion beam current. It paves the way for the use of FIB to create nanostructures in 2D TMDCs, as well as the design and realisation of optoelectrical devices on a wafer scale.
Article
Electron beam lithography uses an accelerated electron beam to fabricate patterning on an electron-beam-sensitive resist but requires complex dry etching or lift-off processes to transfer the pattern to the substrate or film on the substrate. In this study, etching-free electron beam lithography is developed to directly write a pattern of various materials in all-water processes, achieving the desired semiconductor nanopatterns on a silicon wafer. Introduced sugars are copolymerized with metal ions-coordinated polyethylenimine under the action of electron beams. The all-water process and thermal treatment result in nanomaterials with satisfactory electronic properties, indicating that diverse on-chip semiconductors (e.g., metal oxides, sulfides, and nitrides) can be directly printed on-chip by an aqueous solution system. As a demonstration, zinc oxide patterns can be achieved with a line width of 18 nm and a mobility of 3.94 cm2 V-1 s-1. This etching-free electron beam lithography strategy provides an efficient alternative for micro/nanofabrication and chip manufacturing.
Article
The lateral scattering of ions in solids can induce beam broadening and range uncertainty in the application of high energy heavy ions to cancer treatment, ion beam imaging and nanofabrication. In this work, using membranes of PET, PI and CR‐39 of 25 μm to 1.6 mm as nuclear track detectors we measured the lateral scattering of heavy ions with energy of 5.5 MeV/u to 80.5 MeV/u Kr and C beams in solids. The linear functional relationship between experimental and SRIM‐simulated lateral scattering in these solid samples was established with determination coefficients R2 of 0.9979, through which the lateral scattering of ion penetrating through solids obtained from experiments can be reliably evaluated by the SRIM simulation results. Our results also demonstrated that the resolution of the single ion localization system is better than 300 nanometers with the lateral scattering as the main limitation. This article is protected by copyright. All rights reserved.
Preprint
Full-text available
Focused ion beam (FIB) has been used as an effective tool for precise nanoscale fabrication. It has recently been employed to tailor defect engineering in functional nanomaterials such as two-dimensional transition metal dichalcogenides (TMDCs), providing desirable properties in TMDC-based optoelectronic devices. However, the damage caused by the FIB irradiation and milling process to these delicate atomically thin materials, especially in the extended area, has not yet been elaboratively characterised. Understanding the correlation between lateral ion beam effects and optical properties of 2D TMDCs is crucial in designing and fabricating high-performance optoelectronic devices. In this work, we investigate lateral damage in large-area monolayer WS2 caused by the gallium focused ion beam milling process. Three distinct zones away from the milling location are identified and characterised via steady-state photoluminescence (PL) and Raman spectroscopy. An unexpected bright ring-shaped emission around the milled location has been revealed by time-resolved PL spectroscopy with high spatial resolution. Our finding opens new avenues for tailoring the optical properties of TMDCs by charge and defect engineering via focused ion beam lithography. Furthermore, our study provides evidence that while some localised damage is inevitable, distant destruction can be eliminated by reducing the ion beam current. It paves the way for the use of FIB to create nanostructures in 2D TMDCs, as well as the design and realisation of optoelectrical devices on a wafer scale.
Article
Polymer nanochannels based on ion-track etching have attracted increasing interests for molecule detection using current blockage because of the easy control of nanochannel with precise geometry at 1nm scale in recent years. In this work, polyethylene terephthalate (PET) membranes were irradiated with single ions of 2.15 GeV Kr and then the single latent ion track was developed to fabricate nanochannels of several nanometers for lambda-DNA detection. The ion-track etching with DC or AC provided reliable fabrication of double conical nanochannel with similar size of 48kb λDNA with symmetrical ion transportation. Current blockage experiments demonstrated that the bi-conical PET nanochannel is sensitive for the translocation of 48kb λDNA biomolecules with the mean translocation blockage factor Δi/i from 0.02 to 0.10 and the ability to differentiate the number of molecules. The nanochannel is more sensitive for biomolecule detection at lower KCl concentration. This investigation demonstrates that latent track etching of energetic heavy ions is a reliable approach in polymer nanochannel fabrication for biomolecule detection with accurate nanoscale control and low-cost mass production.
Article
The DNA damage response (DDR) is a highly orchestrated process. The involvement of the DDR factors in DNA damage response depends on their biochemical reactions with each other and with the chromatin. Using the online live-cell imaging combined with heavy ion microbeam irradiation, we studied the response of the scaffold protein X-ray repair cross complementary protein 1 (XRCC1) at the localized DNA damage in charged particle irradiated HT1080 cells expressing XRCC1 tagged RFP. The results showed that XRCC1 was recruited to the DNA damage with ultrafast kinetics in a poly ADP-ribose polymerase (PARP) dependent manner. The consecutive reaction model well explained the response of XRCC1 at ion hits, and we found that the XRCC1 recruitment was faster and dissociation was slower in the G2 phase than those in the G1 phase. The fractionated irradiation of the same cells resulted in accelerated dissociation at the previous damage sites, while the dissociated XRCC1 immediately recycled with a higher recruitment efficiency. Our data revealed XRCC1’s new rescue mechanism and its high turnover in DNA damage response, which benefits our understanding of the biochemical mechanism in DNA damage response.
Article
Full-text available
Metallic nanogaps with metal–metal separations of less than 10 nm have many applications in nanoscale photonics and electronics. However, their fabrication remains a considerable challenge, especially for applications that require patterning of nanoscale features over macroscopic length‐scales. Here, some of the most promising techniques for nanogap fabrication are evaluated, covering established technologies such as photolithography, electron‐beam lithography (EBL), and focused ion beam (FIB) milling, plus a number of newer methods that use novel electrochemical and mechanical means to effect the patterning. The physical principles behind each method are reviewed and their strengths and limitations for nanogap patterning in terms of resolution, fidelity, speed, ease of implementation, versatility, and scalability to large substrate sizes are discussed. Metallic nanogaps with metal–metal separations below 10 nm have many applications in nanoscale photonics and electronics. However, their fabrication remains a considerable challenge, especially for applications that require patterning over macroscopic length‐scales. Here, some of the most promising fabrication methods are evaluated, with an emphasis on scalable techniques that can be used to rapidly pattern large‐area nanogap arrays.
Article
Full-text available
Today we live in a new era of economic globalization and rapid technological growth. The advancement of both our society and standard of living require us to delve into this new world and unleash the possibilities our future. We need to broaden the horizons of our universe to discover a new realm where the future of humanity can flourish. We need to be unafraid to unveil the mysteries hidden within the depths of our oceans to unearth treasures that will benefit not only us, but the generations to come.
Article
Full-text available
Human beings have witnessed unprecedented developments since the 1760s using precision tools and manufacturing methods that have led to ever-increasing precision, from millimeter to micrometer, to single nanometer, and to atomic levels. The modes of manufacturing have also advanced from craft-based manufacturing in the Stone, Bronze, and Iron Ages to precision-controllable manufacturing using automatic machinery. In the past 30 years, since the invention of the scanning tunneling microscope, humans have become capable of manipulating single atoms, laying the groundwork for the coming era of atomic and close-to-atomic scale manufacturing (ACSM). Close-to-atomic scale manufacturing includes all necessary steps to convert raw materials, components, or parts into products designed to meet the user's specifications. The processes involved in ACSM are not only atomically precise but also remove, add, or transform work material at the atomic and close-to-atomic scales. This review discusses the history of the development of ACSM and the current state-of-the-art processes to achieve atomically precise and/or atomic-scale manufacturing. Existing and future applications of ACSM in quantum computing, molecular circuitry, and the life and material sciences are also described. To further develop ACSM, it is critical to understand the underlying mechanisms of atomic-scale and atomically precise manufacturing; develop functional devices, materials, and processes for ACSM; and promote high throughput manufacturing.
Article
Full-text available
Sub‐5 nm metal nanogaps have attracted widespread attention in physics, chemistry, material sciences, and biology due to their physical properties, including great plasmon‐enhanced effects in light–matter interactions and charge tunneling, Coulomb blockade, and the Kondo effect under an electrical stimulus. These properties especially meet the needs of many cutting‐edge devices, such as sensing, optical, molecular, and electronic devices. However, fabricating sub‐5 nm nanogaps is still challenging at the present, and scaled and reliable fabrication, improved addressability, and multifunction integration are desired for further applications in commercial devices. The aim of this work is to provide a comprehensive overview of sub‐5 nm nanogaps and to present recent advancements in metal nanogaps, including their physical properties, fabrication methods, and device applications, with the ultimate aim to further inspire scientists and engineers in their research.
Article
Full-text available
This paper demonstrates hydrogen ion (H⁺) induced structural and mechanical changes in Zircaloy-4 correlated with its surface morphology. The specimens of Zircaloy-4 were irradiated with H⁺ at room temperature using a pelletron accelerator. The ion energy was varied from 1 to 4 MeV in four equal steps while keeping the dose fixed at 1 × 10¹⁴ H⁺ cm⁻². The range of H⁺ inside Zircaloy-4, investigated through SRIM software, was 10.4 μm, 29.1 μm, 54.1 μm and 85 μm for 1 MeV, 2 MeV, 3 MeV and 4 MeV irradiated specimens, respectively. X-ray diffraction patterns of irradiated Zircaloy-4 exhibited variations in the width and position of Zr diffraction peaks. The Williamson-Hall analysis of these peaks depicted inconsistent changes in crystallite size and strain with increase of H⁺ energy. The surface morphology revealed the formation of bubbles and cavities in specimens irradiated with 1 MeV ions. With increasing ion energy above 1 MeV, small cavities and particles clusters were observed. The mechanical testing results indicated an increase in the micro-hardness of the sample irradiated with 1 MeV H⁺, however, the micro-hardness decreased with increase of ion energy greater than 1 MeV. The obtained results were elucidated on the basis of variable energy H⁺ interaction with Zircaloy-4 and annihilation of ions induced defects at higher energies.
Article
Full-text available
Patterning with a focused ion beam (FIB) is an extremely versatile fabrication process that can be used to create microscale and nanoscale designs on the surface of practically any solid sample material. Based on the type of ion-sample interaction utilized, FIB-based manufacturing can be both subtractive and additive, even in the same processing step. Indeed, the capability of easily creating three-dimensional patterns and shaping objects by milling and deposition is probably the most recognized feature of ion beam lithography (IBL) and micromachining. However, there exist several other techniques, such as ion implantation- and ion damage-based patterning and surface functionalization types of processes that have emerged as valuable additions to the nanofabrication toolkit and that are less widely known. While fabrication throughput, in general, is arguably low due to the serial nature of the direct-writing process, speed is not necessarily a problem in these IBL applications that work with small ion doses. Here we provide a comprehensive review of ion beam lithography in general and a practical guide to the individual IBL techniques developed to date. Special attention is given to applications in nanofabrication.
Article
Full-text available
Deformable full-colour light-emitting diodes with ultrafine pixels are essential for wearable electronics, which requires the conformal integration on curvilinear surface as well as retina-like high-definition displays. However, there are remaining challenges in terms of polychromatic configuration, electroluminescence efficiency and/or multidirectional deformability. Here we present ultra-thin, wearable colloidal quantum dot light-emitting diode arrays utilizing the intaglio transfer printing technique, which allows the alignment of red-green-blue pixels with high resolutions up to 2,460 pixels per inch. This technique is readily scalable and adaptable for low-voltage-driven pixelated white quantum dot light-emitting diodes and electronic tattoos, showing the best electroluminescence performance (14,000 cd m(-2) at 7 V) among the wearable light-emitting diodes reported up to date. The device performance is stable on flat, curved and convoluted surfaces under mechanical deformations such as bending, crumpling and wrinkling. These deformable device arrays highlight new possibilities for integrating high-definition full-colour displays in wearable electronics.
Article
Full-text available
Proton beam (p-beam) writing is a new direct-writing process that uses a focused beam of MeV protons to pattern resist material at nanodimensions. The process, although similar in many ways to direct writing using electrons, nevertheless offers some interesting and unique advantages. Protons, being more massive, have deeper penetration in materials while maintaining a straight path, enabling p-beam writing to fabricate three-dimensional, high aspect ratio structures with vertical, smooth sidewalls and low line-edge roughness. Calculations have also indicated that p-beam writing exhibits minimal proximity effects, since the secondary electrons induced in proton/electron collisions have low energy. A further advantage stems from the ability of protons to displace atoms while traversing material, thereby increasing localized damage especially at the end of range. P-beam writing produces resistive patterns at depth in Si, allowing patterning of selective regions with different optical properties as well as the removal of undamaged regions via electrochemical etching.
Article
Full-text available
We demonstrate a reliable fabrication method to produce plasmonic dipole nanoantennas with gap values in the range of 3.5-20 nm. The method combines electron beam lithography to create gold nanorods and helium focused ion beam milling to cut the gaps. Results show a reproducibility within 1 nm. Scattering spectra of antennas show a red shift of resonance wavelengths and an increase of the intensity of resonance peaks with a decrease of the gap size, which is in agreement with finite element simulations. The measured refractive index sensitivity was about 250 nm per refractive index unit for antennas with gap values below 5 nm.
Article
Full-text available
When used as a negative-tone electron-beam resist, hydrogen silsesquioxane (HSQ) is typically developed in an aqueous alkali solution such as tetramethyl ammonium hydroxide. This development process results in low contrast. In this work, the authors instead used a mixture of salt and alkali to significantly increase the contrast of HSQ. Contrast values as high as 10 in a 115-nm-thick resist were achieved by developing HSQ in an aqueous mixture of NaOH alkali and NaCl salt. Remarkably, this salty developer resulted in contrast enhancement without significant decrease in resist sensitivity. The improved contrast of HSQ enabled the fabrication of 7 nm half-pitch nested-“L” structures in a 35-nm-thick resist with minimal loss in thickness using a 30 kV electron-beam acceleration voltage. They noticed a strong dependence of contrast enhancement on the concentration and type of cations and anions in the aqueous developer solution.
Article
Full-text available
Over the last decade techniques such as confocal light microscopy, in combination with fluorescent labelling, have helped biologists and life scientists to study biological architectures at tissue and cell level in great detail. Meanwhile, obtaining information at very small length scales is possible with the combination of sample preparation techniques and transmission electron microscopy (TEM) or scanning transmission electron microscopy (STEM). Scanning electron microscopy (SEM) is well known for the determination of surface characteristics and morphology. However, the desire to understand the three dimensional relationships of meso-scale hierarchies has led to the development of advanced microscopy techniques, to give a further complementary approach. A focused ion beam (FIB) can be used as a nano-scalpel and hence allows us to reveal internal microstructure in a site-specific manner. Whilst FIB instruments have been used to study and verify the three-dimensional architecture of man made materials, SEM and FIB technologies have now been brought together in a single instrument representing a powerful combination for the study of biological specimens and soft materials. We demonstrate the use of FIB SEM to study three-dimensional relationships for a range of length scales and materials, from small-scale cellular structures to the larger scale interactions between biomedical materials and tissues. FIB cutting of heterogeneous mixtures of hard and soft materials, resulting in a uniform cross-section, has proved to be of particular value since classical preparation methods tend to introduce artefacts. Furthermore, by appropriate selection, we can sequentially cross-section to create a series of 'slices' at specific intervals. 3D reconstruction software can then be used to volume-render information from the 2D slices, enabling us to immediately see the spatial relationships between microstructural components.
Article
Full-text available
Scanning helium ion beam lithography is presented as a promising pattern definition technique for dense sub-10-nm structures. The powerful performance in terms of high resolution, high sensitivity, and a low proximity effect is demonstrated in a hydrogen silsesquioxane resist.
Article
Full-text available
The authors, demonstrated that 4.5-nm-half-pitch structures could be achieved using electron-beam lithography, followed by salty development. They also hypothesized a development mechanism for hydrogen silsesquioxane, wherein screening of the resist surface charge is crucial in achieving a high initial development rate, which might be a more accurate assessment of developer performance than developer contrast. Finally, they showed that with a high-development-rate process, a short duration development of 15 s was sufficient to resolve high-resolution structures in 15-nm-thick resist, while a longer development degraded the quality of the structures with no improvement in the resolution. © 2009 American Vacuum Society.
Article
Full-text available
A scanning-helium-ion-beam microscope is now commercially available. This microscope can be used to perform lithography similar to, but of potentially higher resolution than, scanning electron-beam lithography. This article describes the control of this microscope for lithography via beam steering/blanking electronics and evaluates the high-resolution performance of scanning helium-ion-beam lithography. The authors found that sub-10 nm-half-pitch patterning is feasible. They also measured a point-spread function that indicates a reduction in the micrometer-range proximity effect typical in electron-beam lithography.
Article
Full-text available
Developing high-resolution resists and processes for electron-beam lithography is of great importance for high-density magnetic storage, integrated circuits, and nanoelectronic and nanophotonic devices. Until now, hydrogen silsesquioxane (HSQ) and calixarene were the only two reported negative resists that could approach sub-10-nm half-pitch resolution for electron-beam lithography. Here, the authors report that 10-nm half-pitch dense nanostructures can also be readily fabricated using the well known poly(methyl methacrylate) (PMMA) resist operating in negative tone, even at exposure energies as low as 2 keV. In addition to scanning electron microscopy metrology, transmission electron microscopy metrology was done to confirm the high-resolution capability of negative-tone PMMA. This process was compared to HSQ with salty development and showed similar ultimate resolution, so it could be used as an alternative for applications incompatible with HSQ.
Article
Full-text available
Exploring the resolution limit of electron-beam lithography is of great interest both scientifically and technologically. However, when electron-beam lithography approaches its resolution limit, imaging and metrology of the fabricated structures by using standard scanning electron microscopy become difficult. In this work, the authors adopted transmission-electron and atomic-force microscopies to improve the metrological accuracy and to analyze the resolution limit of electron-beam lithography. With these metrological methods, the authors found that sub-5 nm sparse features could be readily fabricated by electron-beam lithography, but dense 16 nm pitch structures were difficult to yield. Measurements of point- and line-spread functions suggested that the resolution in fabricating sub-10 nm half-pitch structures was primarily limited by the resist-development processes, meaning that the development rates depended on pattern density and/or length scale.
Article
Full-text available
The interaction of light with surface plasmons--collective oscillations of free electrons--in metallic nanostructures has resulted in demonstrations of enhanced optical transmission, collimation of light through a subwavelength aperture, negative permeability and refraction at visible wavelengths, and second-harmonic generation from magnetic metamaterials. The structures that display these plasmonic phenomena typically consist of ordered arrays of particles or holes with sizes of the order of 100 nm. However, surface plasmons can interact with each other over much longer distances, so the ability to organize nanoscale particles or holes over multiple length scales could lead to new plasmonic metamaterials with novel optical properties. Here, we present a high-throughput nanofabrication technique-soft interference lithography-that combines the ability of interference lithography to produce wafer-scale nanopatterns with the versatility of soft lithography, and use it to create such plasmonic metamaterials. Metal films perforated with quasi-infinite arrays of 100-nm holes were generated over areas greater than 10 cm(2), exhibiting sharp spectral features that changed in relative amplitude and shifted to longer wavelengths when exposed to increased refractive index environments. Moreover, gold nanohole arrays patterned into microscale patches exhibited strikingly different transmission properties; for instance, patches of nanoholes displayed narrow resonances (<14.5 nm full-width-at-half-maximum) that resulted in high refractive index sensitivities far exceeding those reported previously. Soft interference lithography was also used to produce various infinite and finite-area arrays of nanoparticles, including patterns that contained optically distinct particles side by side and arrays that contained both metallic and dielectric materials.
Article
Full-text available
Normal incidence Talbot-Lau interferometers in x-ray applications have the drawbacks of low fringe visibility with polychromatic sources when the wave propagation distance is increased to achieve higher phase sensitivity, and when fabrication limits the attainable grating density. In contrast, reflective gratings illuminated at grazing angles have dramatically higher effective densities than their physical values. However, new designs are needed for far field interferometers using grazing angle geometry with incoherent light sources. We show that, with the appropriate design and choice of reflective phase gratings, there exist pairs of interfering pathways of exactly equal lengths independent of the incoming beam's incidence angle and wavelength. With a visible light grazing angle Mach-Zehnder interferometer, we show the conditions for achieving near ideal fringe visibility and demonstrate both absolute and differential phase-contrast imaging. We also describe the design parameters of an x-ray interferometer and key factors for its implementation.
Article
Full-text available
A single-molecule method for sequencing DNA that does not require fluorescent labelling could reduce costs and increase sequencing speeds. An exonuclease enzyme might be used to cleave individual nucleotide molecules from the DNA, and when coupled to an appropriate detection system, these nucleotides could be identified in the correct order. Here, we show that a protein nanopore with a covalently attached adapter molecule can continuously identify unlabelled nucleoside 5'-monophosphate molecules with accuracies averaging 99.8%. Methylated cytosine can also be distinguished from the four standard DNA bases: guanine, adenine, thymine and cytosine. The operating conditions are compatible with the exonuclease, and the kinetic data show that the nucleotides have a high probability of translocation through the nanopore and, therefore, of not being registered twice. This highly accurate tool is suitable for integration into a system for sequencing nucleic acids and for analysing epigenetic modifications.
Article
A novel metasurface-based nanoantennas array fabricated using a technique of heavy ion tracking is introduced. The technique has advantages of large area patterning, high aspect ratio of the nanoantennas, controllable length, and multi-choice of materials etc. Fabrication process, numerical calculation and optical near-field characterization of the structure are presented. Evidenced by probing results of our near-field scanning optical microscope, the sharp nanoantennas with high aspect ratio can scattering as tiny hot-spots with beam sopt size as small as 50 nm in near-field region. Sensitivity of 137 nm/RIU is obtained for sensing ethanol on the basis of spectroscopy results. Our theoretical and experimental results demonstrate that this structure not only is capbale of acting as a biochemistry sensors for immunoassay and cell/molecular spectrocopy, but also has potential applications in bioimaging due to the nanofocusing hot-spots formed by the sharp nano-pillars array with high-aspect ratio.
Article
We have realized the importance of developing micro/nanofabrication techniques for fluoropolymers in order to further pursue their potential for future applications. This paper is devoted to the following two topics, i.e., ion-track membranes and ion-track-grafted electrolyte membranes for fuel cell applications, both of which include the creation of fluoropolymer-based nanostructured membranes with swift heavy ions. Latent tracks of the MeV-GeV heavy ions in an organic polymer foil can sometimes be chemically etched out to form a membrane with micro- and nano-sized through-pores, the so-called ion-track membrane. Our focus is on ion-track membranes of poly(vinylidene fluoride) (PVDF), which have also been considered as a matrix of functionalized polymer membranes. Although the PVDF-based ion-track membranes have already been reported, their preparation methods have never been optimized. The etching behavior mainly depended on the energy deposition of the ion beams, and thus its depth distribution, estimated by a theoretical simulation, was successfully applied to control the shapes and diameters of the etched pores. The electrolyte membranes for fuel cell applications were prepared by the direct ion-track grafting method. The membrane preparation involves (i) irradiation of a fluoropolymer (mostly the poly(ethylene-co-tetrafluoroethylene)) base film to create reactive species, (ii) graft polymerization of styrene or its derivative monomer into latent tracks, and (iii) sulfonation of the graft polymers. Interestingly, the resulting membranes exhibited an anisotropic proton transport, i.e., higher conductivity in the thickness direction. Based on microscopic observations, this is probably because the nearly columnar electrolyte phase with a width of tens-to-hundreds of nanometers extended through the membrane. Other excellent membrane properties, e.g., a high dimensional stability, should also be due to such a controlled structure.
Article
A long-held goal in sequencing has been to use a voltage-biased nanoscale pore in a membrane to measure the passage of a linear, single-stranded (ss) DNA or RNA molecule through that pore. With the development of enzyme-based methods that ratchet polynucleotides through the nanopore, nucleobase-by-nucleobase, measurements of changes in the current through the pore can now be decoded into a DNA sequence using an algorithm. In this Historical Perspective, we describe the key steps in nanopore strand-sequencing, from its earliest conceptualization more than 25 years ago to its recent commercialization and application.
Article
DNA strand breaks can lead to cell carcinogenesis or cell death if not repaired rapidly and efficiently. An online live cellimaging system was established at the high energy microbeam facility at the Institute of Modern Physics to study early and fast cellular response to DNA damage after high linear energy transfer ion radiation. The HT1080 cells expressing XRCC1-RFP were irradiated with single high energy nickel ions, and time-lapse images of the irradiatedcells were obtained online. The live cellimaging analysis shows that strand-break repair protein XRCC1 was recruited to the ion hit position within 20 s in the cells and formed bright foci in the cell nucleus. The fast recruitment of XRCC1 at the ion hits reached a maximum at about 200 s post-irradiation and then was followed by a slower release into the nucleoplasm. The measured dual-exponential kinetics of XRCC1 protein are consistent with the proposed consecutive reaction model, and the measurements obtained that the reaction rate constant of the XRCC1 recruitment to DNA strand break is 1.2 × 10−3 s−1 and the reaction rate constant of the XRCC1 release from the break-XRCC1 complex is 1.2 × 10−2 s−1.
Article
Proton beam writing (PBW) is a lithographic technique that has been developed since the mid 1990s, initially in Singapore followed by several groups around the world. MeV protons while penetrating materials will maintain a practically straight path. During the continued slowing down of a proton in material it will mainly interact with substrate electrons and transfer a small amount of energy to each electron, the induced secondary electrons will modify the molecular structure of resist within a few nanometers around the proton track. The recent demonstration of high aspect ratio sub 20 nm lithography in HSQ shows the potential of PBW. To explore the full capabilities of PBW, the understanding of the interaction of fast protons with different resist materials is important. Here we give an update of the growing number of resist materials that have been evaluated for PBW. In particular we evaluate the exposure and development strategies for the most promising resist materials like PMMA, HSQ, SU-8 and AR-P and compare their characteristics with respect to properties such as contrast and sensitivity. Besides an updated literature survey we also present new findings on AR-P and PMGI resists. Since PBW is a direct write technology it is important to look for fast ways to replicate micro and nanostructures. In this respect we will discuss the suitability and performance of several resists for Ni electroplating for mold fabrication in nano imprint technologies. We will summarize with an overview of proton resist characteristics like sensitivity, contrast, aspect ratio and suitability for electroplating.
Article
The ion beam lithography techniques which have potential applications in nanofabrication are discussed. The three ion beam techniques, focused ion beam (FIB), proton beam writing and ion projection lithography (IPL), have overcome the technological difficulties and are capable of fabricating structures at the nanoscale. The FIB and IPL may have some distinct advantages when used in combination with nanoimprinting and pattern transfer. FIB can produce master stamps in any material, and proton beam writing is ideal for producing three-dimensional high-aspect ratio metallic stamps of precise geometry.
Article
The process of lithography involves the formation of patterns for selective area processing of devices at different stages of device fabrication. While conventional lithography is carried out using light for exposing “resists” the continuing miniaturization of integrated circuits has stimulated interest in new exposure techniques. Electrons, X-rays and ion beams can also deposit energy in a resist to expose it. Ion beams offer ultimate advantages in sensitivity and fineness of feature size because of their penetration properties in material.Lithographic patterns can be formed by use of scanned, finely focused ion beam. Whole lithographic patterns can be transferred by ion optical imaging or by channeled ion lithography. The progress in both types of ion beam lithography and in the development of high brightness ion sources and ion beam-compatible resists are summarized.
Article
X-ray lithography mask contrast is limited by the thickness of the patterned absorber layer. This is limited by the need to use thin resist layers to maintain the high spatial resolution when fabricated using electron beam lithography (EBL) because electron scattering severely limits the minimum achievable linewidth in thick samples. A MeV proton beam suffers much less lateral scattering than a keV electron beam so higher resolutions should be possible in thick resist layers. This paper presents experimental results showing a 2.0 mum wide channel etched through ~ 10 mum of PMMA (polymethyl methacrylate) using a focused 3 MeV proton beam. Simulations demonstrating that the minimum attainable linewidths for 3 MeV protons are ~ 120 nm through 10 mum of PMMA are also presented.
Article
In this work, three-dimensional (3D) structures were produced in PMMA and CR-39 polymer resists using a carbon ion microbeam. To investigate possible advantages of heavy ions compared to the well-established proton beam lithography, the same resist materials were also irradiated with protons that had a range in the materials studied here similar to that of carbon ions. The microstructures produced in different resists were analysed after chemical etching. The quality of the bottom and side walls of the structures produced by protons and carbon ions were compared using scanning electron microscopy (SEM) and atomic force microscopy (AFM). The results showed that, for the resist materials tested, lithographic structures made with the 8MeV carbon beam had more rough lateral and bottom surfaces compared to those made with 0.6MeV proton beam lithography.
Article
Proton beam writing was performed on a lithographic resist to determine the main parameters required to achieve the minimum feature size, maximum pattern lateral density and maximum aspect ratio. A 2.5MeV proton beam focused to sizes between 1.5 and 2.5μm was used to expose SU-8 negative resist. The number of protons per pixel was varied in the exposure of SU-8 with thicknesses between 5 and 95μm. Patterns consisting of single pixels, single-pixel lines and multi-pixel areas with different densities were fabricated. The smallest structures achieved were posts 1.5μm in diameter with 4:1 structure-space ratio in 15μm thick resist and the highest aspect ratio structures of 20:1 in 40μm resist were produced. It was found that the minimum feature size depended only on the beam size, and ±10% post size accuracy could be achieved within 40–70% variation of the number of protons. MeV proton beam allows a direct fabrication of complex shapes without a mask in single-step irradiation and, in addition, no proximity correction is needed. We present examples of MeV proton beam written single and multi-pixel microstructures with easily reproducible high aspect ratios and densities.
Article
A new semi-empirical algorithm for the radial distribution of dose is compared with available data. The algorithm is used to calculate the inactivation cross section for dry enzymes and viruses using an extended target model of a 1-hit detector. Agreement with data is at about the 15% level, approximating the precision of the data itself.
Article
To study the radiation effect of cosmic heavy ions of low fluxes in electronics and living samples, a focusing heavy ion microbeam facility, for ions with energies of several MeV∕u up to 100 MeV∕u, was constructed in the Institute of Modern Physics of the Chinese Academy of Sciences. This facility has a vertical design and an experiment platform for both in-vacuum analysis and in-air irradiation. Recently, microbeam of (12)C(6+) with energy of 80.55 MeV∕u was successfully achieved at this interdisciplinary microbeam facility with a full beam spot size of 3 μm × 5 μm on target in air. Different from ions with energy of several MeV∕u, the very high ion energy of hundred MeV∕u level induces problems in beam micro-collimation, online beam spot diagnosis, radiation protection, etc. This paper presents the microbeam setup, difficulties in microbeam formation, and the preliminary experiments performed with the facility.
Article
The formation of nanowires of crosslinked polymers with cylindrical structure through high energy ion beam irradiation of thin films of silicon backbone polymers was discussed. The results showed that the spatial distribution and size of the isolated nanowires could be controlled by this technique. The radius of the wires varied from a few nanometers to 15nm and was controlled by changing the parameters of incident ion beam or molecular weight of the target polymer. The thickness of the target film determined the length of each wire.
Article
We investigated electron-beam lithography with an aberration-corrected scanning transmission electron microscope. We achieved 2 nm isolated feature size and 5 nm half-pitch in hydrogen silsesquioxane resist. We also analyzed the resolution limits of this technique by measuring the point-spread function at 200 keV. Furthermore, we measured the energy loss in the resist using electron-energy-loss spectroscopy.
Article
Toward the end goal of creating transducers with nanometer scale sensing features, the helium ion microscope (HIM) has been employed to create and characterize high aspect ratio features in gold films. The HIM has a spot size less than 1 nm, uses a chemically inert noble gas (He), which does not deposit/implant any species that may contaminate the material being patterned, and is able to rapidly generate arrays of vias in Au. Hence, the HIM is an ideal tool to generate these ultrahigh aspect ratio features. The authors characterize the vias, also using HIM, by measurements of feature size, lateral milling resolution, sidewall angle, and fabrication speed. Two novel methods were employed to enable the characterization due to the very small size of the features. A significant reduction in via width is achieved, as compared with traditional focused ion beam milling.
Article
SRIM is a software package concerning the Stopping and Range of Ions in Matter. Since its introduction in 1985, major upgrades are made about every six years. Currently, more than 700 scientific citations are made to SRIM every year. For SRIM-2010, the following major improvements have been made: (1) About 2800 new experimental stopping powers were added to the database, increasing it to over 28,000 stopping values. (2) Improved corrections were made for the stopping of ions in compounds. (3) New heavy ion stopping calculations have led to significant improvements on SRIM stopping accuracy. (4) A self-contained SRIM module has been included to allow SRIM stopping and range values to be controlled and read by other software applications. (5) Individual interatomic potentials have been included for all ion/atom collisions, and these potentials are now included in the SRIM package. A full catalog of stopping power plots can be downloaded at www.SRIM.org. Over 500 plots show the accuracy of the stopping and ranges produced by SRIM along with 27,000 experimental data points. References to the citations which reported the experimental data are included.
Article
Monte Carlo calculations of the radial distribution of dose in liquid water, incorporating energy deposition due to primary excitations and ionizations, have been performed for protons of energy 1, 10, 20, 50 and 100 MeV. By combining these results with earlier semi-empirical formulae used in track structure theory calculations, a corrected analytic formulation has been developed which on radial integration closely reproduces the value of stopping power for protons in the energy range 0.1–1000 MeV. After including a β-dependent ‘effective charge’ formula, this corrected formulation is tested against all published measurements of radial distribution of dose from energetic ions in gaseous media. Though some inconsistencies at the closest and the farthest reaches of the radial distribution of dose remain, the overall agreement is very satisfactory, indicating that the ‘effective charge’ Z∗, and Z∗2/β2 scaling are phenomenologically valid concepts for describing the radial dose from heavy ions of energies above ∼ 0.5 MeV/amu.
Article
We report on the resolution limits of Electron Beam Lithography (EBL) in the conventional polymethylmethacrylate (PMMA) organic resist. We show that resolution can be pushed below 10 nm for isolated features and how dense arrays of periodic structures can be fabricated at a pitch of 30 nm, leading to a density close to 700 Gbit/in2. We show that intrinsic resolution of the writing in the resist is as small as 3 to 5 nm at high incident electron energy, and that practical resolution is limited by the development of the resist after exposure and by pattern transfer. We present the results of our optimized process for reproducible fabrication of sub-10 nm lines by lift-off and 30-nm pitch pillar arrays by lift-off and reactive ion etching (RIE). We also present some applications of these nanostructures for the fabrication of very high density molds for nano-imprint lithography (NIL) and for the fabrication of Multiple Tunnel Junction devices that can be used for single electron device applications or for the connection of small molecules.
Article
Existing techniques for electron- and ion-beam lithography, routinely employed for nanoscale device fabrication and mask/mold prototyping, do not simultaneously achieve efficient (low fluence) exposure and high resolution. We report lithography using neon ions with fluence <1 ion/nm(2), ∼1000× more efficient than using 30 keV electrons, and resolution down to 7 nm half-pitch. This combination of resolution and exposure efficiency is expected to impact a wide array of fields that are dependent on beam-based lithography.
Article
Scanning probe microscopy has been widely used to investigate various interactions in microscopic nature. Particularly, conductive atomic force microscopy (C-AFM) can provide local electronic signals conveniently, but the probe resolution of C-AFM has been limited by the tip geometry. Here, we improve the probe resolution greatly by forming an atomic-size metallic filament on a commercial C-AFM tip. We demonstrate ∼1 nm lateral resolution in C-AFM using the metal filament tip. The filament tip is mechanically robust and electrically stable in repeated scans under ambient conditions since it is imbedded in a stable insulating matrix. The formation of the atomic filament is highly controllable and reproducible and can be easily integrated to existing AFM tip technologies to produce the next generation of high-resolution electrical and other scanning probes.
Article
We demonstrated a new nanoassembly strategy based on capillary force-induced cohesion of high-aspect ratio nanostructures made by electron-beam lithography. Using this strategy, ordered complex pattern were fabricated from individual nanostructures at the 10 nm length scale. This method enables the formation of complex designed networks from a sparse array of nanostructures, suggesting a number of potential applications in fabrication of nanodevices, nanopatterning, and fluid-flow investigations.
Article
PbSeTe-based quantum dot superlattice structures grown by molecular beam epitaxy have been investigated for applications in thermoelectrics. We demonstrate improved cooling values relative to the conventional bulk (Bi,Sb)2(Se,Te)3thermoelectric materials using a n-type film in a one-leg thermoelectric device test setup, which cooled the cold junction 43.7 K below the room temperature hot junction temperature of 299.7 K. The typical device consists of a substrate-free, bulk-like (typically 0.1 millimeter in thickness, 10 millimeters in width, and 5 millimeters in length) slab of nanostructured PbSeTe/PbTe as the n-type leg and a metal wire as the p-type leg.
Article
This review covers research published in nanofabrication during the interval from 1999 to mid-2004 and to specific techniques demonstrated to be capable of patterning a substrate with features ≤100 nm in both lateral and vertical dimensions. The first area of unconventional nanofabrication reviewed is a set of techniques that uses organic materials to replicate nanoscale patterns or masters. The second area is scanning probe lithography (SPL). The last two areas are edge lithography and self-assembly. Overall, these approaches are expected to be useful in research laboratories wishing to explore ideas in nanoscience.
Article
Proton beam writing (p-beam writing) is a promising new direct-write lithographic technique for three-dimensional nanofabrication. In p-beam writing a megaelectronvolt proton beam is focused to a sub-100-nm spot size and scanned over a suitable resist material. Unlike electrons, when a proton beam interacts with resist it follows an almost straight path resulting in high aspect ratio structures with vertical, smooth sidewalls. The secondary electrons induced by the primary proton beam have low energy and therefore limited range, resulting in minimal proximity effects. Hydrogen silsesquioxane has been identified as a superior resist for p-beam writing, allowing the production of high-aspect-ratio structures down to 22 nm.
Article
The techniques of photolithography, electron lithography, X-ray lithography, ion bombardment etching, and liftoff are reviewed, and their advantages and disadvantages assessed from the point of view of fabricating surface-acoustic-wave and thin-film optical devices.