ArticlePDF Available

3-D Synapse Array Architecture Based on Charge-Trap Flash Memory for Neuromorphic Application

Authors:

Abstract and Figures

In order to address a fundamental bottleneck of conventional digital computers, there is recently a tremendous upsurge of investigations on hardware-based neuromorphic systems. To emulate the functionalities of artificial neural networks, various synaptic devices and their 2-D cross-point array structures have been proposed. In our previous work, we proposed the 3-D synapse array architecture based on a charge-trap flash (CTF) memory. It has the advantages of high-density integration of 3-D stacking technology and excellent reliability characteristics of mature CTF device technology. This paper examines some issues of the 3-D synapse array architecture. Also, we propose an improved structure and programming method compared to the previous work. The synaptic characteristics of the proposed method are closely examined and validated through a technology computer-aided design (TCAD) device simulation and a system-level simulation for the pattern recognition task. The proposed technology will be the promising solution for high-performance and high-reliability of neuromorphic hardware systems.
Content may be subject to copyright.
Electronics2020,9,57;doi:10.3390/electronics9010057www.mdpi.com/journal/electronics
Article
3DSynapseArrayArchitectureBasedonChargeTrap
FlashMemoryforNeuromorphicApplication
HyunSeokChoi1,YuJeongPark2,JongHoLee3andYoonKim1,*
1SchoolofElectricalandComputerEngineering,UniversityofSeoul,Seoul02504,Korea;cawai7@naver.com
2AppliedMaterialsKorea,Ltd.,Hwaseongsi,Gyeonggido18364,Korea;pyoojeng@naver.com
3DepartmentofElectricalandComputerEngineering,SeoulNationalUniversity,Seoul08826,Korea;
jhl@snu.ac.kr
*Correspondence:yoonkim82@uos.ac.kr;Tel.:+820264902352
Received:29November2019;Accepted:29December2019;Published:30December2019
Abstract:Inordertoaddressafundamentalbottleneckofconventionaldigitalcomputers,thereis
recentlyatremendousupsurgeofinvestigationsonhardwarebasedneuromorphicsystems.To
emulatethefunctionalitiesofartificialneuralnetworks,varioussynapticdevicesandtheir2D
crosspointarraystructureshavebeenproposed.Inourpreviouswork,weproposedthe3D
synapsearrayarchitecturebasedonachargetrapflash(CTF)memory.Ithastheadvantagesof
highdensityintegrationof3Dstackingtechnologyandexcellentreliabilitycharacteristicsof
matureCTFdevicetechnology.Thispaperexaminessomeissuesofthe3Dsynapsearray
architecture.Also,weproposeanimprovedstructureandprogrammingmethodcomparedtothe
previouswork.Thesynapticcharacteristicsoftheproposedmethodarecloselyexaminedand
validatedthroughatechnologycomputeraideddesign(TCAD)devicesimulationanda
systemlevelsimulationforthepatternrecognitiontask.Theproposedtechnologywillbethe
promisingsolutionforhighperformanceandhighreliabilityofneuromorphichardwaresystems.
Keywords:3Dneuromorphicsystem;3Dstackedsynapsearray;chargetrapflashsynapse
1.Introduction
Neuromorphicsystemshavebeenattractingmuchattentionfornextgenerationcomputing
systemstoovercomethevonNeumannarchitecture[1–5].Theterm“neuromorphic”referstoan
artificialneuralsystemthatmimicsneuronsandsynapsesofthebiologicalnervoussystem[3].A
neurongeneratesaspikewhenamembranepotentialwhichistheresultofthespatialandtemporal
summationofthesignalreceivedfromthepreneuronexceedsathreshold,andthegeneratedspike
istransmittedtothepostneuron.Asynapsereferstothejunctionbetweenneurons,andeach
synapsehasitsownsynapticweightwhichistheconnectionstrengthbetweenneurons[6].Ina
neuromorphicsystem,synapticweightcanberepresentedbytheconductanceofsynapsedevice.
Therequirementsofasynapsedevicetoimplementaneuromorphicsystemareasfollows:
smallcellsize,lowenergyconsumption,multileveloperations,symmetricandlinearweight
change,highenduranceandcomplementarymetaloxidesemiconductor(CMOS)compatibility[5].
Variousmemorydevices,suchasstaticrandomaccessmemories(SRAM)[7],resistive
randomaccessmemories(RRAM)[8],phasechangememories(PCM)[9],floatinggate‐ memories
(FGmemory)[10]andchargetrapflashmemories[11]havebeenproposedtoimplementthe
synapseoperation.Amongthem,chargetrapflash(CTF)deviceshavegoodCMOScompatibility
andexcellentreliability[12–15].
Inourpreviouswork,weproposeda3Dstackedsynapsearraybasedonachargetrapflash
(CTF)device[11].Threedimensionalstackingtechnologyiscurrentlyusedinthecommercialized
NotAND(NAND)flashmemoryproductsforultrahighdensity[14].Similarly,a3Dstacked
Electronics2020,9,572of10
synapsearrayhastheadvantageofchipsizereductionwhenimplementingverylargesize
artificialneuralnetworks.Consequently,ithasthepotentialtobeapromisingtechnologyfor
implementingneuromorphichardwaresystems.Forthedesignofthe3Dstackedsynapsearray
architecture,thereareseveralissues.Atthefullarraylevel,howtooperateeachlayerselectively
andhowtoefficientlyformthemetalinterconnectswithperipheralcircuitsarecriticalissues.Atthe
devicelevel,howtoimplementaccuratesynapticweightlevelswithlowenergyconsumptionisan
importantissue.Especially,linearandsymmetricsynapticweight(conductance)modulationsare
essentialtoimprovetheaccuracyofneuromorphichardwaresystems[1–4].
Inthispaper,weexaminetheseissuesandsuggesttwoimprovementsintermsofan
architecturedesignandadeviceoperationmethod.Therestofthepaperisstructuredasfollows:
Section2containsdesignmethodsbasedontheviewpointofafullchiparchitecture.Inthissection,
wereviewthe3Dstackedsynapsearraystructuredevelopedinthepreviouswork[11]and
proposeanimprovedversionofthe3Dstackedsynapsearrayarchitecturetosolvetheunwanted
problemofthepreviousversion.InSection3,weproposeanimprovedprogrammingmethodto
obtainlinearandsymmetricconductancechanges.Usingapatternrecognitionapplicationwiththe
ModifiedNationalInstituteofStandardsandTechnology(MNIST)database,wedemonstratethe
improvementoftheproposedmethod.
2.DesignMethodsof3DSynapseArrayArchitecture
Ingeneral,alargesizeartificialneuralnetworkthathasalargenumberofsynapticweightsand
neuronlayersisrequiredtoobtainhighperformanceartificialintelligencetasks.Inthecaseofthe
ImageNetclassificationchallenge,stateoftheartdeepneuralnetwork(DNN)architectureshave
5~155Msynapticweightparameters[16].Inordertoimplementefficientlyalargesizeartificial
neuralnetworkonalimitedsizehardwarechip,weproposedthe3Dstackedsynapsearray
structure(Figure1)inthepreviouswork[11].
Figure1.3Dsynapsearraystructure[11].(a)3Dstackedsynapsedevice;(b)Unitsynapsecell
structure.
UnitsynapsecelliscomposedoftwoCTFdeviceshavingtwodrainnodes(D(+),D())and
commonsourcenode(S).TheD(+)partisconnectedtotheoutputneuroncircuittoincrease
membranepotential,actingasanexcitatorysynapticbehavior.TheD()partisconnectedtothe
outputneuroncircuittodecreasemembranepotential,actingasaninhibitorysynapticbehavior.By
usingthisconfiguration,itcanberepresentedthenegativeandpositiveweightatthesametime.As
Electronics2020,9,573of10
summarizedinTable1,theCTFdevicehasseveraladvantagesoverothernonvolatilememory
devices.First,itdoesnotneedanadditionalselectordevicebecausethethreeterminal
MOSFETbasedunitcellhasabuiltinselectionoperation.Second,ithasperfectCMOS
compatibility.Third,thelinearandincrementalmodulationoftheweight(conductance)canbe
moreeasilyachievedbecauseitsconductanceisdeterminedbythenumberoftrappedcharges.
Fourth,ithasgoodretentionreliabilitycharacteristics.Ontheotherhand,thedrawbackofCTFis
largepowerconsumptionduringprogramoperation.Therefore,CTFdevicesarethebestsolution
foroffchiplearningbasedneuromorphicsystemswherefrequentweightupdatesdonotoccur.
Table1.Comparisonbetweennonvolatilememorydevicesforneuromorphichardwaresystems.
RRAMPCMSTTMRAMCTF
DeviceStructure2terminals2terminals2terminals3terminals
Selectorneededneededneededunneeded
CellSize4~12F24~12F26~20F24~8F2
CMOSCompatibilitygoodgoodmoderateverygood
MultiLevelOperationgoodgoodmoderateverygood
WeightChangeabruptSETabruptRESETstochasticchangegoodsymmetric
WriteLatency20~100ns40~150ns2~20ns>1μs
WriteEnergylowmidlowmid~high
Retentionmoderategoodgoodverygood
Theproposed3Dstackedsynapsearraystructureisbasedonthewordlinestackingmethod
whichissimilartothecommercializedVNANDflashmemory.Therefore,ithastheadvantageof
utilizingtheexistingstableprocessmethodsusedinVNANDflashmemory.
Akeyissueinthedesignof3Dstackedsynapsearrayarchitectureisthemetalinterconnection.
Forexample,a4layerstackedsynapsearraywouldhavefourtimesasmanywordlinesasa2D
synapsearray.Ifthewordline(WL)decoderisconnectedbyaconventionalmetalinterconnection
method,theverticallengthoftheWLdecoder(HWL_Decoder)willincreaseasillustratedinFigure
2,resultinginanenormouslossofareaefficiencyintermsoffullchiplevelarchitecture.Tosolve
thisissue,weproposedthesmartdesignofalayerselectdecoderwith3Dmetallineconnectionin
thepreviouswork[11].AsshowninFigure3a,theareaofWLdecoderisnotincreased,andalayer
selectdecoderisaddedtoselectivelyoperateeachstackedlayer.Alayerselectdecoderdeliversthe
gatevoltagesgeneratedbytheWLdecodertotheWLsoftheselectedlayer.Itisimportanttonote
thattheverticallengthofalayerselectdecoderisthesameasthatoftheWLdecode,andthe
horizontallengthisonly4F×NwhereFistheminimumfeaturesizeandNisthenumberofstaked
layers.Thespecificstructureofthetransistorsandmetalinterconnectsisdepictedinourprevious
paper[11].
Electronics2020,9,574of10
Figure2.Metalinterconnectionschemeofsynapsearrayarchitecture.(a)2Dneuromorphicsystem
architecture;(b)3Dneuromorphicsystemarchitecture(abaddesignexample).
Figure3.Schematicoftheproposed3Dsynapsearrayarchitecture.(a)Metalinterconnectionofa
fullchiparchitecture;(b)Eachsynapselayerconfigurationtoimplementartificialneuralnetwork.
Thetopviewlayoutofthe3DsynapsearrayarchitectureisillustratedinFigure4.Thelayer
selectdecoderiscomposedofpasstransistors.Thepasstransistorsarearrangednexttoeachword
lineandareconnectedonetoonewitheachWLcontact.Thegatenodesofthepasstransistorsare
verticallyconnectedtoformalayerselectline(LSL)thatiscontrolledbyLSLcontrolcircuit.
Throughthisconfiguration,eachstackedlayercanbeselectivelyoperatedwhilemaintaining
compactfullchipconfigurationefficiency.Forexample,iftheturnonvoltageisappliedtoL4and
theturnoffvoltagesareappliedtoL1~L3,passtransistorscorrespondingtoL=4areactivated.
Consequently,theWLvoltagesgeneratedintheWLdecoderaretransferredtotheforthlayerWLs
(L=4).
Inthispaper,weproposedanimprovedarchitecturedesigncomparedtothepreviouswork,
addingthegroundselectdecoderasshowninFigure4.Ifthereisonlyalayerselectdecoder,the
WLsoftheunselectedstackedlayerareonafloatingstatebecausetheyarenotconnectedtotheWL
decoder.Inthiscase,thepotentialoftheWLsoftheunselectedlayervariesduetothecapacitive
couplingbetweenthestackedWLs.Intheworstcase,theWLsofunselectedlayerslocatedaboveor
below(L=n−1orL=n+1)theselectedlayer(L=n)maybeboostedtogetherwhenahighvoltage
isappliedtotheselectedWLs.Tosolvethisinherentriskofthearchitectureofthepreviousversion,
agroundselectdecoderthatappliesaturnoffvoltage(0V)totheWLsoftheunselectedlayeris
addedtotherightsideofthemain3DstackedsynapsearrayasshowninFigure4.
Electronics2020,9,575of10
Figure4.Topviewimageoftherevisedsynapsearrayarchitecture.
Thedetailedmanufacturingprocessofthe3Dsynapsearraywasdescribedinourprevious
paper[11].Therevisedsynapsearrayarchitecturecanbemadewiththesameprocessmethod.
Sincethenewlyaddedgroundselectdecoderstructurehasthesamestructureasthelayerselect
decoder,itcanbemadebyjustaddingthesamelayoutasthelayerselectdecoder.
TovalidatethesynapticoperationsofthedesignedCTFbasedsynapsedevice,thetechnology
computeraideddesign(TCAD)devicesimulation(SynopsysSentaurus[17])wasused.Thespecific
deviceparametersaresummarizedinTable2.Electricalcharacteristicsofthedesignedsynapse
devicearediscussedinthenextchapter.
Table2.Physicalparametersofthedeviceusedforelectricalsimulation.
Value
LS=LD50nm
LCH100nm
TCH10nm
TO/N/O3/6/6nm
W
WL=
W
S/D100nm
3.Results
3.1.SynapseDeviceOperation
Intheproposedsynapsearray(Figure3b),synapticweight(wij)oftheartificialneuralnetwork
isrepresentedasfollows:
wij=G+ij−Gij.(1)
AsdepictedinFigure3b,G+ijandGijaretheconductancesoftheD(+)CTFdeviceandD()CTF
device,respectively.Eachconductanceisdeterminedbytheamountoftrappedchargeineach
chargetraplayer(siliconnitride).Fortheconductancemodulation,hotelectroninjection(HEI)and
hotholeinjection(HHI)canbeusedasachargeinjectionmechanism.Thepotentiationprocessof
increasingthesynapticweightcanbeperformedbyincreasingG+ijanddecreasingGij.Ontheother
hand,thedepressionprocessofdecreasingthesynapticweightcanbecarriedoutbydecreasingG+ij
andincreasingGij.Usingatechnologycomputeraideddesign(TCAD)devicesimulation(Synopsys
Sentaurus),weverifytwopulseschemesforthemodulationofsynapticweight.Asuccessivepulse
programmingschemeandtheincrementalsteppulseprogramming(ISPP)schemeareillustratedin
Figure5a,b,respectively.
Electronics2020,9,576of10
Figure5.Programmingschemesforsynapticweight(conductance).(a)Successivepulse
programmingscheme;(b)Incrementalsteppulseprogrammingscheme.
Asuccessivepulseprogrammingisa methodofcontinuouslyapplyingdrainpulseswiththe
samevoltageasshowninFigure5a.Inthisprogrammingscheme,theamountofconductance
changeiscontrolledbythenumberofapplieddrainpulses.Whenthedrainpulseisapplied,the
signofthegatevoltagedetermineswhetherHEIorHHIoccurs.Ifthedrainpulseisappliedwhen
thegatebiasispositive(6V),HEIoccurs.Inthiscase,thethresholdvoltageincreasesbythetrapped
electronandtheconductancedecreases.Ontheotherhand,ifthedrainpulseisappliedwhenthe
gatebiasinnegative(7V),HHIoccurs.Inthiscase,thethresholdvoltagedecreasesbythetrapped
holeandtheconductanceincreases.TheproposedunitsynapsecelliscomposedoftwoCTFdevices.
Consequently,thepotentiationoperationisconductedsimultaneouslybyHHIintheD(+)CTF
deviceandHEIintheD()CTFdevice.ThedepressionoperationisconductedbyHEIintheD(+)
deviceandHHIintheD()device.
TheISPPisusedfortheprogramschemeofNANDflashmemory[18].Theprogrampulseis
increasedbyaconstantvalueVstepaftereachprogramstep,asshowninFigure5b.Inourprevious
paper,onlysuccessivepulseprogrammingwasused.Inthiswork,weappliedtheISPPmethodto
theconductancemodulationofourdesignedsynapsedevice.UsingaTCADdevicesimulation,we
comparedtheconductancemodulationcharacteristicsofsuccessivepulseprogrammingandthe
ISPP.AsshowninFigure6,theISPPschemeshowsbettersynapticbehaviorthanthe
successivepulsescheme.TheISPPschemeshowedthattheconductancechangeslinearlyaccording
tothenumberofappliedpulses.Also,therangeofavailablesynapticweights(memorywindow)
canbefurtherincreased.Consequently,theISPPschemecanadjustthesynapticweightmore
accuratelythanthesuccessivepulseprogrammingschemeduringthelearningprocess.However,
theISPPschemealsohasadrawback.Inordertodeterminethestartpulsevoltage,averifyoperationis
requiredpriortoprogrammingtocheckthecurrentsynapticweightvalue.Therefore,theISPPscheme
canincreasetheaccuracyofthelearningprocess,butalsoincreasestimeandenergyconsumption.
Figure6.Gradualchangesofsynapticweightsbysuccessivepulseprogrammingand
incrementalsteppulseprogramming(ISPP).
Electronics2020,9,577of10
3.2.SystemLevelSimulationforPatternRecognition
Tovalidatethefunctionalityoftheproposedprogrammingschemes,thesinglelayerartificial
neuralnetworksystemfortheModifiedNationalInstituteofStandardsandTechnology(MNIST)
patternrecognitionwassimulated.TheMNISTdatabaseisalargedatabaseofhandwrittendigits,
whichcontainsabout60,000learningimagesand10,000testimages[19].Atotalof784input
neuronsrepresent28×28pixelsofeachimageand10outputneuronsrepresent10digits(0~9).We
alsousedarectifierlinearunit(ReLU)asanactivationfunctionofneuron,whichisoneofthe
popularactivationfunctions[20].Forthelearningprocess,asupervisedlearningmethodwasused.
Atfirst,theerrorwascalculatedattheoutputneurons.Next,thetargetchangeinsynapticweight
(thenumberofprogrammingpulses)wasdeterminedbythegradientdescentmethod.Afterthat,
thesynapticweightvalueisupdatedbasedonfittedequationsfortheconductancemodulation
characteristicsofasuccessivepulseprogrammingschemeandtheISPPscheme.
Figure7ashowsthesystemlevelsimulationresultofthepatternrecognitionaccuracywiththe
10,000testimagesamples.Comparedtoourpreviouswork[11],theISPPschemecanincrease
recognitionaccuracybyabout6%(asuccessivepulseprogrammingschemeinourpreviouswork:
79.83%[11],andtheISPPschemeinthiswork:85.9%).Thisresultisingoodagreementwiththe
otherpapersthatthelinearconductancemodulationcharacteristicisessentialforthebetter
performanceofneuromorphicsystems[5,21].Thesynapticweightmapsaftertraining10,000
sampleswiththeISPPschemeareillustratedinFigure7b.
Inaddition,weexaminedthesynapticweightmodulationcharacteristicsaccordingtothe
variousvaluesofVstepintheISPPscheme.AsillustratedinFigure8a,asmallerVstepallowsforfine
conductancemodulation,whichmeansthatthenumberofthesynapticweightlevelcanbe
increased.Asaresult,thefineconductancemodulationabilitywithasmallerVstepcanobtainmore
accuratepatternrecognitionrate,asshowninFigure8b.Itshouldbenoted,however,thattheretention
characteristics(theabilitytodistinguisheachlevelforalongtime)candeterioratewhentheinterval
betweeneachsynapticweightlevelbecomesnarrow.Therefore,themagnitudeofVstepshouldbe
determinedconsideringthetradeoffrelationshipbetweentheretentioncharacteristicandtheaccuracy.
Figure7.ModifiedNationalInstituteofStandardsandTechnology(MNIST)patternrecognition
result.(a)RecognitionaccuracycomparisonbetweenasuccessivepulseprogrammingandtheISPP;
(b)Synapticweightmapaftertraining10,000sampleswiththeISPPscheme.
Electronics2020,9,578of10
Figure8.MNISTpatternrecognitionresultbyusingtheISPPscheme.(a)Thegradualconductance
changebyapplyingvariousVstep;(b)Recognitionaccuracyasafunctionofthetrainingsamplesfor
thevariousVstep.Thenumbermeanstheweightlevels(maximumpulsenumber).
4.Discussion
Currently,numerousresearchesbasedondifferenttypesofnonvolatilememorydeviceshave
beenconductedtoimplementneuromorphichardwaresystems.Table3summarizessomeofthe
researchresults.
Table3.Comparisonbetweenseveralresearchresultsofneuromorphicapplications.
ThisWorkPrevious
Work[11][22][23][24]
SynapseDeviceCTFCTFCTFRRAMPRAM
ArrayArchitecture3Darray3Darray2Darray2Darray2Darray
NeuronLayersinglelayersinglelayersinglelayersinglelayermultilayer
LearningTypesupervisedsupervisedsupervisedsupervisedunsupervised
RecognitionRate85.9%79.8%84%87.9%95.5%
ResultTypesimulationsimulationmeasurementmeasurementsimulation
Almostallpreviousstudiesarebasedonthe2Dsynapsearraystructure,butforthefirsttime
weproposedthe3Dstackedsynapsearraystructure.Thispaperhasaddressedseveralissues
associatedwiththedesignofthe3Dsynapsearrayarchitectureintermsofafullchiplevel.This
willbeanimportantguidelinefordesigninga3Dstackedsynapsearray.Theapproachofstacking
CTFdevicesisamaturetechnologythathasbeenalreadyusedincommercialized3DNANDflash
memories.Consequently,theproposed3Dsynapsearchitectureisexpectedtohaveahigh
possibilityofactualmassproduction.Also,itcanachieveexcellentreliabilitybyutilizingthe
varioustechnologiesusedinNANDflashmemory.Forexample,wehavedemonstratedthatthe
ISPPmethodcanimprovethepatternrecognitionaccuracyofaneuromorphicsystem.
Forfuturework,wewilldemonstratethesuperiorityoftheproposed3Dsynapsearchitecture
basedonanactualfabricatedarray.Inaddition,applicationresearchestovariousartificialneural
networkssuchasaconvolutionalneuralnetwork(CNN)andarecurrentneuralnetwork(RNN)
willbeacrucialtopic.
5.Conclusions
Weproposeda3DsynapsearrayarchitecturebasedonaCTFmemorydevice.Toresolvethe
drawbackofthepreviousversionofthearchitecture,agroundselectdecoderwasnewlyadded.
Also,weintroducedtheISPPschemetoimprovethelinearityoftheconductancemodulation.The
characteristicsofsynapticweightmodulationwascharacterizedusingaTCADdevicesimulation.
Inaddition,wedemonstratedthefeasibilityoftheproposedarchitectureforneuromorphicsystem
applicationsthroughaMATLABsimulationfortheMNISTpatternrecognition.Theproposed3D
synapsearrayarchitecturethatexhibitsacompactchipconfigurationandahighintegrationability
willbeapromisingtechnologythatcanrealizehardwarebasedneuromorphicsystems.
AuthorContributions:H.S.C.andY.Kdesignedthearchitecturedesignandwrotethemanuscript.Y.J.P.
performedthedevicesimulations.Y.K.confirmedthevaliditiesofthedesignedarchitectureandsimulated
synapticoperation.J.H.L.conceivedanddevelopedthevarioustypesof3Dsynapsestructures,initiatedthe
overallresearchproject.Allauthorshavereadandagreedtothepublishedversionofthemanuscript.
Funding:Thisworkwassupportedbythe2019ResearchFundoftheUniversityofSeoulforYoonKim.Also,
thisworkwassupportedbytheMOTIE(MinistryofTrade,Industry&Energy(10080583)andKSRC(Korea
SemiconductorResearchConsortium)supportprogramforthedevelopmentofthefuturesemiconductor
deviceforJongHoLee.
ConflictsofInterest:Theauthorsdeclarenoconflictofinterest.
Electronics2020,9,579of10
References
1. Yu,S.;Gao,B.;Fang,Z.;Yu,H.;Kang,J.;Wong,H.S.Alowenergyoxidebasedelectronicsynapticdevice
forneuromorphicvisualsystemswithtolerancetodevicevariation.Adv.Mater.2013,25,1774–1779.
2. Liu,X.;Mao,M.;Liu,B.;Li,H.;Chen,Y.;Li,B.;Wang,Y.;Jiang,H.;Barnell,M.;Wu,Q.;etal.RENO:A
highefficientreconfigurableneuromorphiccomputingacceleratordesign.InProceedingsofthe2015
52ndACM/EDAC/IEEEDesignAutomationConference(DAC),SanFrancisco,CA,USA,8–12June2015;
pp.1–6.
3. Mead,C.Neuromorphicelectronicsystems.Proc.IEEE1990,78,1629–1636.
4. Burr,G.W.;Shelby,R.M.;Sebastian,A.;Kim,S.;Kim,S.;Sidler,S.;Virwani,K.;Ishii,M.;Narayanan,P.;
Fumarola,A.;etal.Neuromorphiccomputingusingnonvolatilememory.Adv.Phys.X2016,2,89–124.
5. Choi,H.S.;Wee,D.H.;Kim,H.;Kim,S.;Ryoo,K.C.;Park,B.G.;Kim,Y.3DFloatingGateSynapseArray
withSpikeTimeDependentPlasticity.IEEETrans.Electron.Devices2018,65,101–107.
6. Roberts,P.D.;Bell,C.C.Spiketimingdependentsynapticplasticityinbiologicalsystems.Biol.Cybern.
2002,87,392–403.
7. Akopyan,F.;Sawada,J.;Cassidy,A.;AlvarezIcaza,R.;Arthur,J.;Merolla,P.;Imam,N.;Nakamura,Y.;
Datta,P.;Nam,G.J.;etal.Truenorth:Designandtoolflowofa65mW1millionneuronprogrammable
neurosynapticchip.IEEETrans.Comput.AidedDes.Integr.CircuitsSyst.2015,34,1537–1557.
8. Yu,S.;Wu,Y.;Jeyasingh,R.;Kuzum,D.;Wong,H.S.AnElectronicSynapseDeviceBasedonMetalOxide
ResistiveSwitchingMemoryforNeuromorphicComputation.IEEETrans.Electron.Devices2011,58,
2729–2737.
9. Panwar,N.;Kumar,D.;Upadhyay,N.K.;Arya,P.;Ganguly,U.;Rajendran,B.Memristivesynaptic
plasticityinPr0.7Ca0.3MnO3RRAMbybiomimeticprogramming.InProceedingsofthe72ndDevice
ResearchConference,SantaBarbara,CA,USA,2225June2014;pp.135–136.
10. Diorio,C.;Hasler,P.;Minch,B.A.;Mead,C.A.AfloatinggateMOSlearningarraywithlocallycomputed
weightupdates.IEEETrans.Electron.Devices1997,44,2281–2289.
11. Park,Y.J.;Kwon,H.T.;Kim,B.;Lee,W.J.;Wee,D.H.;Choi,H.S.;Park,B.G.;Lee,J.H.;Kim,Y.3DStacked
SynapseArrayBasedonChargeTrapFlashMemoryforImplementationofDeepNeuralNetworks.IEEE
Trans.Electron.Devices2019,66,420–427.
12. Lee,J.;Park,B.G.;Kim,Y.ImplementationofBooleanLogicFunctionsinChargeTrapFlashfor
InMemoryComputing.IEEEElectron.DeviceLett.2019,40,1358–1361.
13. Kim,Y.;Kang,M.Downcouplingphenomenonoffloatingchannelin3DNANDflashmemory.IEEE
Electron.DeviceLett.2016,37,1566–1569.
14. Jeong,W.;Im,J.W.;Kim,D.H.;Nam,S.W.;Shim,D.K.;Choi,M.H.;Yoon,H.J.;Kim,D.H.;Kim,Y.S.;Park,
H.W.;etal.A128Gb3b/cellVNANDflashmemorywith1Gb/sI/Orate.IEEEJ.SolidStateCircuits2016,
51,204–212.
15. Kang,M.;Kim,Y.NaturalLocalSelfBoostingEffectin3DNANDFlashMemory.IEEEElectron.DeviceLett.
2017,38,1236–1239.
16. Canziani,A.;Paszke,A.;Culurciello,E.AnAnalysisofDeepNeuralNetworkModelsforPractical
Applications.arXiv2017,arXiv:1605.07678.
17. SentaurusDeviceUserGuide;Ver.I2013.12;Synopsys:MountainView,CA,USA,2012.
18. Kim,Y.;Seo,J.Y.;Lee,S.H.;Park,B.G.ANewProgrammingMethodtoAlleviatetheProgramSpeed
VariationinThreeDimensionalStackedArrayNANDFlashMemory.JSTS2014,5,566–571.
19. LeCun,Y.;Bottou,L.;Bengio,Y.;Haffner,P.Gradientbasedlearningappliedtodocumentrecognition.
Proc.IEEE1998,86,2278–2324.
20. Nair,V.;Hinton,G.E.RectifiedlinearunitsimproverestrictedBoltzmannmachines.InProceedingsofthe
27thInternationalConferenceonMachineLearning(ICML10),Haifa,Israel,June21–242010;pp.807–814.
21. Burr,G.W.;Shelby,R.M.;Sidler,S.;DiNolfo,C.;Jang,J.;Boybat,I.;Shenoy,R.S.;Narayanan,P.;Virwani,
K.;Giacometti,E.U.;etal.ExperimentalDemonstrationandTolerancingofaLargeScaleNeuralNetwork
(165000Synapses)UsingPhaseChangeMemoryastheSynapticWeightElement.IEEETrans.Electron.
Devices2015,62,3498–3507.
22. Kim,H.;Hwang,S.;Park,J.;Park,B.G.Siliconsynaptictransistorforhardwarebasedspikingneural
networkandneuromorphicsystem.Nanotechnology2017,28,405202.
Electronics2020,9,5710of10
23. Kim,S.;Kim,H.;Hwang,S.;Kim,M.H.;Chang,Y.F.;Park,B.G.AnalogSynapticBehaviorofaSilicon
NitrideMemristor.ACSAppl.Mater.Interfaces2017,9,40420–40427.
24. Ambrogio,S.;Ciocchini,N.;Laudato,M.;Milo,V.;Pirovano,A.;Fantini,P.;Ielmini,D.Unsupervised
learningbyspiketimingdependentplasticityinphasechangememory(PCM)synapses.Front.Neurosci.
2016,10,56.
©2019bytheauthors.LicenseeMDPI,Basel,Switzerland.Thisarticleisanopenaccess
articledistributedunderthetermsandconditionsoftheCreativeCommons
Attribution(CCBY)license(http://creativecommons.org/licenses/by/4.0/).
... On the other hand, nonvolatile memories such as CTF can distinguish between states of multi-level cells depending on how many charges are trapped in the charge trap layer, and have long retention 12 . Additionally, several studies show that the endurance characteristics of CTF can be significantly improved by structural and material engineering of the device [21][22][23] . ...
... Especially, the linearity of the Long-Term Potentiation and Long-Term Depression (LTP-LTD) is regarded as one of the most important characteristics for synapse device evaluation 33 . By achieving the linear conductance update with identical consecutive pulse scheme, it is believed to enable the multilevel operation while reducing the burden on peripheral circuits to operate crossbar array 12,37 . ...
... The conventional three-terminal floating gate-based flash memory shows high nonlinearity in weight updates 12,30,38,39 due to the Fowler-Nordheim (F-N) tunnelling, a vital function of the electric field changed by electrons stored charge state 38,40 . Ion-conducting electrolyte-based three-terminal synapse devices show high linear conductance update for weight state [41][42][43][44] . ...
Article
Full-text available
Neuromorphic computing, an alternative for von Neumann architecture, requires synapse devices where the data can be stored and computed in the same place. The three-terminal synapse device is attractive for neuromorphic computing due to its high stability and controllability. However, high nonlinearity on weight update, low dynamic range, and incompatibility with conventional CMOS systems have been reported as obstacles for large-scale crossbar arrays. Here, we propose the CMOS compatible gate injection-based field-effect transistor employing thermionic emission to enhance the linear conductance update. The dependence of the linearity on the conduction mechanism is examined by inserting an interfacial layer in the gate stack. To demonstrate the conduction mechanism, the gate current measurement is conducted under varying temperatures. The device based on thermionic emission achieves superior synaptic characteristics, leading to high performance on the artificial neural network simulation as 93.17% on the MNIST dataset.
... From the implementing a deep neural network point of view, conventional computing systems have an inherent limitation due to data transmission between the physically separated memory and processor. To overcome this von-Neumann bottleneck, also called the memory wall, a neuromorphic computing system that can perform computations directly inside the memory array have been drawing attention from Kim) many researchers [1][2][3][4][5][6][7][8][9][10][11][12][13][14][26][27][28][29][30][31][32][33][34]. In a neuromorphic system, a DNN can be mapped onto a crossbar array in which the synaptic weight (w) is stored in the conductance state of the memory device. ...
... In the worst case, memory devices of the unselected layer may be turned on as the electrical potential of unselected WLs is boosted. To avoid this inherent risk, additional peripheral circuit part that applies voltages to the unselected layer is required [11] and this causes an increase in the area of the peripheral circuitry. On the other hand, in the case of the channel-stack type, only the current in the selected layer always flows to the neuron circuit by the layer selection circuit even if electrical coupling occurs between stacked channels. ...
... COMPARISON OF BASIC PROPERTIES OF VARIOUS SYNAPSE DEVICES[11,14,35] ...
Article
Full-text available
In this work, we proposed a three-dimensional (3-D) channel stacked array architecture based on charge-trap flash (CTF) memory for an artificial neural network accelerator. The proposed synapse array architecture could be a promising solution for implementing efficiently a large-size artificial neural network on a limited-size hardware chip. We designed a full array architecture including a stacked layer selection circuit. In addition, we investigated the synaptic characteristics of CTF device by using technology computer-aided design (TCAD) simulation. We demonstrated the feasibility of the synapse array for neural network accelerators through a system-level MATLAB simulation with the Modified National Institute of Standards and Technology (MNIST) database.
... metal-coordinated azo aromatics 11,12 ). The change in conductance typically involves the creation and disruption of conductive filaments (CFs)/interface/bulk modulation comprising a localized concentration of defects 13 . Amorphous metal oxide semiconductor (AOS) materials, such as amorphous indium-gallium-zinc oxide (IGZO), have been widely studied and applied in thin-film transistors (TFTs) [14][15][16] for display applications as switch and ...
Article
Full-text available
Solution-based memristors deposited by inkjet printing technique have a strong technological potential based on their scalability, low cost, environmentally friendlier processing by being an efficient technique with minimal material waste. Indium-gallium-zinc oxide (IGZO), an oxide semiconductor material, shows promising resistive switching properties. In this work, a printed Ag/IGZO/ITO memristor has been fabricated. The IGZO thickness influences both memory window and switching voltage of the devices. The devices show both volatile counter8wise (c8w) and non-volatile 8wise (8w) switching at low operating voltage. The 8w switching has a SET and RESET voltage lower than 2 V and − 5 V, respectively, a retention up to 10⁵ s and a memory window up to 100, whereas the c8w switching shows volatile characteristics with a low threshold voltage (Vth < − 0.65 V) and a characteristic time (τ) of 0.75 ± 0.12 ms when a single pulse of − 0.65 V with width of 0.1 ms is applied. The characteristic time alters depending on the number of pulses. These volatile characteristics allowed them to be tested on different 4-bit pulse sequences, as an initial proof of concept for temporal signal processing applications.
... Memristor is one of the most widely studied candidates because it can be integrated into a cross-point structure that enables parallel computations; however, it requires additional selector devices such as diodes or transistors to suppress sneak path current, which can be extra overhead for the entire system. Transistor-based synaptic devices are relatively free from this issue thanks to a gate electrode, and flash memory stands out as a promising candidate for synaptic devices due to its advantages, including high cell density, reliable switching characteristics, excellent retention, and multi-level capabilities [41][42][43][44][45]. ...
Article
Full-text available
A flash memory is a non-volatile memory that has a large memory window, high cell density, and reliable switching characteristics and can be used as a synaptic device in a neuromorphic system based on 3D NAND flash architecture. We fabricated a TiN/Al2O3/Si3N4/SiO2/Si stack-based Flash memory device with a polysilicon channel. The input/output signals and output values are binarized for accurate vector-matrix multiplication operations in the hardware. In addition, we propose two kernel mapping methods for convolutional neural networks (CNN) in the neuromorphic system. The VMM operations of two mapping schemes are verified through SPICE simulation. Finally, the off-chip learning in the CNN structure is performed using the Modified National Institute of Standards and Technology (MNIST) dataset. We compared the two schemes in terms of various parameters and determined the advantages and disadvantages of each.
... In three-terminal memory devices, the semiconductor and dielectric layers emulate the artificial synaptic functions by modulating the conductance of channel and migrating the mobile ions through presynaptic spikes. In this regard, various three-terminal memory devices such as charge-trapping memory, [42][43][44] floating gate memory, [45,46] gate-tunable memory, [47][48][49][50] and memtransistors [51][52][53] have enormously been investigated to perform artificial synaptic functionalities with neuromorphic computing. ...
... However, since the conductivity of a synaptic device is always a positive value, the negative weight cannot be effectively implemented. In a typical approach, the conductance values of the two synaptic devices are subtracted to express a negative weight (Burr et al., 2015;Choi et al., 2019). Another method is weight shifting, where the median of the weight values is shifted from zero to a positive region so that all weight values become positive. ...
Article
Full-text available
Biologically-inspired neuromorphic computing paradigms are computational platforms that imitate synaptic and neuronal activities in the human brain to process big data flows in an efficient and cognitive manner. In the past decades, neuromorphic computing has been widely investigated in various application fields such as language translation, image recognition, modeling of phase, and speech recognition, especially in neural networks (NNs) by utilizing emerging nanotechnologies; due to their inherent miniaturization with low power cost, they can alleviate the technical barriers of neuromorphic computing by exploiting traditional silicon technology in practical applications. In this work, we review recent advances in the development of brain-inspired computing (BIC) systems with respect to the perspective of a system designer, from the device technology level and circuit level up to the architecture and system levels. In particular, we sort out the NN architecture determined by the data structures centered on big data flows in application scenarios. Finally, the interactions between the system level with the architecture level and circuit/device level are discussed. Consequently, this review can serve the future development and opportunities of the BIC system design.
Article
The synaptic plasticity observed in biological synapses encompasses various timescales crucial for memory, learning, and diverse signal processing with minimal power consumption. Likewise, the synaptic devices, a cutting-edge paradigm in...
Article
Full-text available
Nonvolatile memory (NVM)‐based neuromorphic computing has been attracting considerable attention from academia and the industry. Although it is not completely successful yet, remarkable achievements have been reported pertaining to synaptic devices that can leverage NVM capable of storing multiple states. The analog synaptic devices performing computation similar to biological nerve systems are crucial in energy‐efficient analog neuromorphic computing systems. To use NVM as an analog synaptic device, researchers focus on improving device characteristics. Among various characteristics, the most challenging one is linearity and symmetry of synaptic weight update that is required for on‐chip training. In this regard, this review paper discusses recent synaptic device improvements focusing on novel schemes tailored for each NVM device to improve the linearity and symmetry. In addition to device‐level studies, recent research achievements are reviewed expanded up to chip‐level studies because in realizing neuromorphic hardware systems beyond a single synaptic device, several considerations and requirements are needed to confirm for high‐level design, and accordingly, cooptimize among synaptic devices, synapse arrays, electrical circuits, neural networks, algorithms, and implementation. Also, this review introduces various circuit and algorithmic approaches to compensate for the non‐ideality of the analog synaptic device.
Article
We investigate 3D charge-trap (CT) nand flash cells using device-physics based multi-scale simulations to explore their potential and optimum operating conditions as electronic synapses of the neuromorphic hardware. A set of figure of merits (FOMs) has been adopted to indicate their goodness of operation under incremental pulse inputs. The results of this study suggest that excellent synaptic FOMs can be attained from 3D CT nands by designing and calibrating the input pulse trains. The impact of variations of device dimensions on charge capture and release phenomena have been investigated and linked to output characteristics in order to obtain intuitive guidelines for attaining desired synaptic functionalities. By co-designing gate dielectric stack and input pulses, the threshold voltage (V T ) of the 3D CT cell can be sequentially increased and decreased in a linear and symmetric fashion, providing a large number of distinct V T levels with good retention characteristics. Statistical simulations suggest that device-to-device variations of electrical responses have a negligible impact on the synaptic capabilities of these devices. It has also been shown that the incorporation of deeper traps through material engineering improves synaptic reliability of the 3D CT cells under prolonged operations.
Article
Full-text available
Brain-inspired neuromorphic systems have attracted much attention as new computing paradigms for power-efficient computation. Here, we report a silicon synaptic transistor with two electrically independent gates to realize a hardware-based neural network system without any switching components. The spike-timing dependent plasticity (STDP) characteristics of the synaptic devices are measured and analyzed. With the help of the device model based on the measured data, the pattern recognition capability of the hardware-based spiking neural network (SNN) systems is demonstrated using the modified national institute of standards and technology (MNIST) handwritten dataset. By comparing systems with and without inhibitory synapse part, it is confirmed that the inhibitory synapse part is an essential element in obtaining effective and high pattern classification capability.
Article
Full-text available
Dense crossbar arrays of non-volatile memory (NVM) devices represent one possible path for implementing massively-parallel and highly energy-efficient neuromorphic computing systems. We first review recent advances in the application of NVM devices to three computing paradigms: spiking neural networks (SNNs), deep neural networks (DNNs), and ‘Memcomputing’. In SNNs, NVM synaptic connections are updated by a local learning rule such as spike-timing-dependent-plasticity, a computational approach directly inspired by biology. For DNNs, NVM arrays can represent matrices of synaptic weights, implementing the matrix–vector multiplication needed for algorithms such as backpropagation in an analog yet massively-parallel fashion. This approach could provide significant improvements in power and speed compared to GPU-based DNN training, for applications of commercial significance. We then survey recent research in which different types of NVM devices – including phase change memory, conductive-bridging RAM, filamentary and non-filamentary RRAM, and other NVMs – have been proposed, either as a synapse or as a neuron, for use within a neuromorphic computing application. The relevant virtues and limitations of these devices are assessed, in terms of properties such as conductance dynamic range, (non)linearity and (a)symmetry of conductance response, retention, endurance, required switching power, and device variability.
Article
Full-text available
We present a novel one-transistor/one-resistor (1T1R) synapse for neuromorphic networks, based on phase change memory (PCM) technology. The synapse is capable of spike-timing dependent plasticity (STDP), where gradual potentiation relies on set transition, namely crystallization, in the PCM, while depression is achieved via reset or amorphization of a chalcogenide active volume. STDP characteristics are demonstrated by experiments under variable initial conditions and number of pulses. Finally, we support the applicability of the 1T1R synapse for learning and recognition of visual patterns by simulations of fully connected neuromorphic networks with 2 or 3 layers with high recognition efficiency. The proposed scheme provides a feasible low-power solution for on-line unsupervised machine learning in smart reconfigurable sensors.
Article
The use of nonvolatile arbitrary logic functions through emerging nonvolatile memory devices has been proposed to implement in-memory computing architecture. Here, for the first time, we have proposed a nonvolatile stateful logic methodology based on a charge trap flash (CTF) memory device. Using multi-bit operation, functionally complete Boolean logic functions are experimentally demonstrated. CTF devices exhibit perfect CMOS compatibility and excellent reliability as compared to other emerging memory devices. In addition, they do not require an additional select transistor, which leads to a more compact array configuration. Our CTF device and operation method may be a promising solution for the hardware implementation of non-von Neumann computing systems.
Article
This paper proposes a synaptic device based on charge-trap flash memory that has good CMOS compatibility and superior reliability characteristics compared with other synaptic devices. Using hot-electron injection and hot-hole injection, we designed operation methods to implement gradual conductance modulation and spike-timing-dependent plasticity. We demonstrate the feasibility of the device for neuromorphic applications through both a device-level technology computer-aided design simulation and a system-level MATLAB simulation. For the first time, we also propose a 3-D stacked synapse array and present the structure, operation, and process methods. The proposed array architecture features a small area and low process cost and could be a novel solution for neuromorphic systems for implementing deep neural networks.
Article
This paper proposes a 3-D floating-gate (FG) synapse array for neuromorphic applications. The designed device has certain advantages over previous planar FG synapse devices: a smaller cell size due to the stacked structure and smaller operation voltage by the gate-all-around geometry. In addition, the operation method to implement spike time-dependent plasticity is proposed and demonstrated. The proposed array based on commercialized flash memory technology is expected be one of the most promising candidate architecture for neuromorphic applications.
Article
In this paper, we present synapse function using analog resistive switching behaviors in a SiNx-based memristor with complementary metal-oxide-semiconductor (CMOS) compatibility and expandability to 3D crossbar array architecture. A progressive conductance change is attainable as a result of the gradual growth and dissolution of the conducting path, and the series resistance of the AlOx layer in the Ni/SiNx/Al¬Oy/TiN memristor device enhances analog switching performance by reducing current overshoot. A continuous and smooth gradual reset switching transition can be observed with a compliance current limit (> 100 μA), and is highly suitable for demonstrating synaptic characteristics. Long-term potentiation (LTP) and long-term depression (LTD) are obtained by means of identical pulse responses. Moreover, symmetric and linear synaptic behaviors are significantly improved by optimizing pulse response conditions, which is verified by a neural network simulation. Finally, we display the spike-timing-dependent plasticity (STDP) with the multi-pulse scheme. This work provides a possible way to mimic biological synapse function for energy efficient neuromorphic systems, by using a conventional passive SiNx layer as an active dielectric.
Article
This study examined the natural local self-boosting (NLSB) effect of an inhibited channel in three-dimensional (3D) NAND flash memory. The inhibited channel in the 3D NAND flash structure can be in the floating state easily because its channel is not connected directly to its substrate. Despite the application of the global self-boosted program-inhibit scheme, the selected WL cell is localized automatically during the program pulse application. This phenomenon is analyzed using a computer-aided design simulation, and an analytical model of boosted potential of an inhibited channel in 3D NAND flash memory is proposed.
Article
In this paper, we have investigated the down-coupling phenomenon (DCP) of inhibited channel potential in three-dimensional (3D) NAND flash memory. The inhibited channel in the 3D NAND flash structure can easily be in the floating state, because its channel is not directly connected to its body. This floating channel behavior can adversely affect memory operation. Specifically, during program and verify operation, the DCP reduces the boosting potential of the inhibit string. Consequently, the insufficient channel potential leads to a large program disturbance and deterioration of the cell-distribution characteristics. Using computer-aided design (TCAD) simulations, we analyzed this phenomenon and proposed an operation method to alleviate this phenomenon.
Article
Since the emergence of Deep Neural Networks (DNNs) as a prominent technique in the field of computer vision, the ImageNet classification challenge has played a major role in advancing the state-of-the-art. While accuracy figures have steadily increased, the resource utilization of winning models has not been properly taken into account. In this work, we present a comprehensive analysis of important metrics in practical applications: accuracy, memory footprint, parameters, operations count, inference time and power consumption. Key findings are: (1) fully connected layers are largely inefficient for smaller batches of images; (2) accuracy and inference time are in a hyperbolic relationship; (3) energy constraint are an upper bound on the maximum achievable accuracy and model complexity; (4) the number of operations is a reliable estimate of the inference time. We believe our analysis provides a compelling set of information that help design and engineer efficient DNNs.