ArticlePDF Available

Digital Logic Design: Basics

Authors:

Abstract

The digital logic design is a system in electrical and computer engineering that uses simple numerical values to produce input and output operations. As a digital design engineer, you may assist in developing cell phones, computers, and related personal electronic devices. In the modern world of electronics, the term digital is usually associated with a computer. This is due to the fact that the term digital is derived from the way the computers perform operations, by counting digits. Nowadays digital concepts are usually applied to various problems which are solved by analog methods. In modern times digital systems find applications related to industrial and consumer products which maintain and develop various ways which develop our country and helps in developing science ideas in terms of research. As computer science is upgraded in every way it helps to develop the multinational companies in the form of bonus machines.
International Journal of Trend in
International Open Access Journal
ISSN No: 2456
@ IJTSRD | Available Online @
www.ijtsrd.com
Digital Logic
B.T
Greater Noida, Uttar Pradesh, India
ABSTRACT
The digital logic design is a system in electrical and
computer engineering that uses simple numerical
values to produce input and output operations. As a
digital design engineer, you may assist in developing
cell phones, computers, and related personal
el
ectronic devices. In the modern world of electronics,
the term digital is usually associated with a computer.
This is due to the fact that the term digital is derived
from the way the computers perform operations, by
counting digits. Nowadays digital conce
usually applied to various problems which are solved
by analog methods. In modern times digital systems
find applications related to industrial and consumer
products which maintain and develop various ways
which develop our country and helps in dev
science ideas in terms of research. As computer
science is upgraded in every way it helps to develop
the multinational companies in the form of bonus
machines.
INTRODUCTION
In this paper, we
discussed the number system in
computer science and various conversion of theories
and also explain about the binary numbers
multiplexers and some programmable logic devices
which converts the development of computer science
education on the peak. The n
umber
language of a digital
system consisting of a set of
symbols called digits with rules defined for their
addition subtraction multiplication and other
mathematical operations. Combinational logic circuits
of an interconnection
of logic gates in which the
output at any time depends upon the combination of
input signals present at that instant only and does not
depend on any past conditions. In combinational
circuits,
the output does not depend on the past value
of
input and output. Hence combinational circuits do
not require any memory.
International Journal of Trend in
Scientific
Research and Development (IJTSRD)
International Open Access Journal
|
www.ijtsrd.com
ISSN No: 2456
- 6470 | Volume - 3 | Issue –
1 | Nov
www.ijtsrd.com
| Volume – 3 | Issue – 1 | Nov-
Dec 2018
Digital Logic
Design: Basics
Durgesh Raghuvanshi
ech, Department of Computer Science, IILM Academy of Higher Learning,
Greater Noida, Uttar Pradesh, India
The digital logic design is a system in electrical and
computer engineering that uses simple numerical
values to produce input and output operations. As a
digital design engineer, you may assist in developing
cell phones, computers, and related personal
ectronic devices. In the modern world of electronics,
the term digital is usually associated with a computer.
This is due to the fact that the term digital is derived
from the way the computers perform operations, by
counting digits. Nowadays digital conce
pts are
usually applied to various problems which are solved
by analog methods. In modern times digital systems
find applications related to industrial and consumer
products which maintain and develop various ways
which develop our country and helps in dev
eloping
science ideas in terms of research. As computer
science is upgraded in every way it helps to develop
the multinational companies in the form of bonus
discussed the number system in
computer science and various conversion of theories
and also explain about the binary numbers
multiplexers and some programmable logic devices
which converts the development of computer science
umber
system is a
system consisting of a set of
symbols called digits with rules defined for their
addition subtraction multiplication and other
mathematical operations. Combinational logic circuits
of logic gates in which the
output at any time depends upon the combination of
input signals present at that instant only and does not
depend on any past conditions. In combinational
the output does not depend on the past value
input and output. Hence combinational circuits do
A half
adder is a two binary inputs augend and addend
bits band two binary outputs sum and carry.
adder is the arithmetic
sum of three inputs bits. It
consists of three inputs
and two outputs. Half
subtractor is a combinational circuit that subtracts two
bits and produces their difference. It also has an
output to specify if a 1has been borrowed. Full
subtractor is a subtraction between two bits taking
into account borrow of th
e lower significant stage.
The circuit has three inputs and two outputs.
sequential circuit is a combinational circuit to which
storage elements are connected to form a feedback
path. Latches are storage element which operates with
signal levels. It is
also said as level sensitive.
Analog Signals:
Analog signals are the signals which may have an
infinite numb
er of different magnitudes or values.
They vary continuously with time.
For example:-
sine wave and a triangular wave
V
0
-V
ANALOG SIGNALS
Research and Development (IJTSRD)
www.ijtsrd.com
1 | Nov
– Dec 2018
Dec 2018
Page: 131
ech, Department of Computer Science, IILM Academy of Higher Learning,
adder is a two binary inputs augend and addend
bits band two binary outputs sum and carry.
A full
sum of three inputs bits. It
and two outputs. Half
subtractor is a combinational circuit that subtracts two
bits and produces their difference. It also has an
output to specify if a 1has been borrowed. Full
subtractor is a subtraction between two bits taking
e lower significant stage.
The circuit has three inputs and two outputs.
The
sequential circuit is a combinational circuit to which
storage elements are connected to form a feedback
path. Latches are storage element which operates with
also said as level sensitive.
Analog signals are the signals which may have an
er of different magnitudes or values.
They vary continuously with time.
sine wave and a triangular wave
.
t
International Journal of Trend in Scientific Research and Development (IJTSRD) ISSN: 2456
@ IJTSRD | Available Online @
www.ijtsrd.com
Digital Signals:
A signal is known as a digital signal if it has only a
finite number of predetermined dis
tinct magnitudes.
Depending upon the number of distinct magnitudes,
the digital signals may be classified as under:
Actually,
the digital signals are discrete time signals
that are they are not continuous.
1
Comparison
of digital and analog signals:
Analog systems:
The system which process the analog signals are
called as analog systems.
Examples:-
1. Filters circuit
2. Amplifier circuits
3. Signal generators
4. Motor speed controllers
Parameter of
comparison
Analog signals
Digital signals
No. of values Infinite
Finite
Nature of
signals
Continuous time
Discrete
Sources of
signals
Signal
generators,
transducers etc.
Computers, A to
D converters
etc.
Examples Sine wave, a
triangular wave
Binary signal
Serial no. No. of distinct
magnitudes
Types of digital
signals
1 2
Binary
2 8
Octal
3 16
Hexadecimal
International Journal of Trend in Scientific Research and Development (IJTSRD) ISSN: 2456
www.ijtsrd.com
| Volume – 3 | Issue – 1 | Nov-
Dec 2018
A signal is known as a digital signal if it has only a
tinct magnitudes.
Depending upon the number of distinct magnitudes,
the digital signals may be classified as under:
the digital signals are discrete time signals
of digital and analog signals:
The system which process the analog signals are
Drawbacks:-
1.
Analysis of an analog system is less accurate.
2. Analysis of
analog system requires time
domain analysis which requires a very sound
mathematical background.
3.
Analog systems are usually affected more by
the undesired electrical disturbance called
noise.
4.
The performance of analog systems degrades
because of component
5.
Their performance changes due to variation in
temperature.
Floating point representation:
-
Integers are whole numbers or fixed point numbers
with the radix point fixed after the least significant bit.
They are contrasted to real numbers or floating
numbers where the position of the radix point varies.
They are posts on a representation of floating point
format. The objective of this is to provide the number
of bits is finalized for a particular system we cannot
change it abruptly. Hence for ab
we can represent is (0)2 which is to increase the range
of the numbers to be represented an obvious solution
is to increase the number of bits.
Binary codes:-
The digital data is represented stored and transmitted
as groups of binary dig
its. The group of bits also
known as
binary code. They are classified as numeric
and alphanumeric codes are used to represent a
character.
Classification of binary codes:
Weighted codes:-
In weighted codes, each digit is assigned a specific
weight according to its position. For example, in
8421BCD code, 1001 the weights of 1, 0, 0, 1 (from
left to right) are 8, 4, 2 and 1 respectively.
Suppose W1' W2' W3 and W4 are the weights of
binary d
igits and Xl' X2, X3 and X4 are the
corresponding digit values then decimal digit. N = W1
Xl + W2 X2 + W3 X3 + W4 X4 is represented by
binary sequence X4 X3 X2 X4.
The codes 8421BCD, 2421BCD, 5211BCD are all
weighted codes.
Digital signals
Finite
Discrete
-time
Computers, A to
D converters
etc.
Binary signal
Types of digital
signals
Binary
Octal
Hexadecimal
International Journal of Trend in Scientific Research and Development (IJTSRD) ISSN: 2456
-6470
Dec 2018
Page: 132
Analysis of an analog system is less accurate.
analog system requires time
-
domain analysis which requires a very sound
mathematical background.
Analog systems are usually affected more by
the undesired electrical disturbance called
The performance of analog systems degrades
because of component
aging.
Their performance changes due to variation in
-
Integers are whole numbers or fixed point numbers
with the radix point fixed after the least significant bit.
They are contrasted to real numbers or floating
point
numbers where the position of the radix point varies.
They are posts on a representation of floating point
format. The objective of this is to provide the number
of bits is finalized for a particular system we cannot
change it abruptly. Hence for ab
ove format number,
we can represent is (0)2 which is to increase the range
of the numbers to be represented an obvious solution
is to increase the number of bits.
The digital data is represented stored and transmitted
its. The group of bits also
binary code. They are classified as numeric
and alphanumeric codes are used to represent a
Classification of binary codes:
-
In weighted codes, each digit is assigned a specific
weight according to its position. For example, in
8421BCD code, 1001 the weights of 1, 0, 0, 1 (from
left to right) are 8, 4, 2 and 1 respectively.
Suppose W1' W2' W3 and W4 are the weights of
igits and Xl' X2, X3 and X4 are the
corresponding digit values then decimal digit. N = W1
Xl + W2 X2 + W3 X3 + W4 X4 is represented by
binary sequence X4 X3 X2 X4.
The codes 8421BCD, 2421BCD, 5211BCD are all
International Journal of Trend in Scientific Research and Development (IJTSRD) ISSN: 2456
@ IJTSRD | Available Online @
www.ijtsrd.com
Non-weighted codes:-
The non-
weighted codes are not positionally
weighted. In other words, each digit position within
the number is not assigned a fixed value ( or weight ).
Excess-3 and gray code are non-
weighted codes.
Alphanumeric codes:-
Codes used to represent
numbers, alphabetic
characters, symbols and various instructions necessary
for conveying intelligible information.
ASCII, EBCDIC, UNICODE are the most
used alphanumeric codes.
Error detecting and correcting codes:-
Codes which allo
w error detection and correction are
called error detecting and' correcting codes. Hamming
code is the most commonly used error detecting and
correcting code.
Reflective codes:-
A code is reflective when the code is self
complementing. In other words, wh
en the code for 9
is the complement the code for 0, 8 for 1, 7 for 2, 6
for 3 and 5 for 4.
2421BCD, 5421BCD and Excess-
3 code are reflective
codes.
Sequential codes:-
In sequential codes, each succeeding 'code is one
binary number greater
than its preceding code. This
property helps in the manipulation of data.
8421 BCD and Excess-
3 are sequential codes.
Gate level minimization:-
Logic optimization, a part of logic synthesis in
electronics, is the process of finding an
representation of the specified logic circuit under one
or more specified constraints. Generally, the circuit is
constrained to minimize chip area meeting a
prespecified delay.
With the advent of logic synthesis, one of the biggest
challenges f
aced by electronic design automation
(EDA) industry was to find the best netlist
representation of the given design description. While
two-
level logic optimization had long existed in the
form of the
International Journal of Trend in Scientific Research and Development (IJTSRD) ISSN: 2456
www.ijtsrd.com
| Volume – 3 | Issue – 1 | Nov-
Dec 2018
weighted codes are not positionally
weighted. In other words, each digit position within
the number is not assigned a fixed value ( or weight ).
weighted codes.
numbers, alphabetic
characters, symbols and various instructions necessary
ASCII, EBCDIC, UNICODE are the most
-commonly
w error detection and correction are
called error detecting and' correcting codes. Hamming
code is the most commonly used error detecting and
A code is reflective when the code is self
-
en the code for 9
is the complement the code for 0, 8 for 1, 7 for 2, 6
3 code are reflective
In sequential codes, each succeeding 'code is one
than its preceding code. This
property helps in the manipulation of data.
3 are sequential codes.
Logic optimization, a part of logic synthesis in
electronics, is the process of finding an
equivalent
representation of the specified logic circuit under one
or more specified constraints. Generally, the circuit is
constrained to minimize chip area meeting a
With the advent of logic synthesis, one of the biggest
aced by electronic design automation
(EDA) industry was to find the best netlist
representation of the given design description. While
level logic optimization had long existed in the
Quine–
McCluskey algorithm, later followed by the
Espress
o heuristic logic minimizer, the rapidly
improving chip densities, and the wide adoption of
HDLs for circuit description, formalized the logic
optimization domain as it exists today.
Today, logic optimization is divided into various
categories:
i. Based
on the circuit representation
ii. Two-
level logic optimization
iii. Multi-
level logic optimization
iv.
Based on circuit characteristics
v.
Sequential logic optimization
vi.
Combinational logic optimization
vii.
Based on the type of execution
viii.
Graphical optimization methods
ix.
Tabular optimization methods
x.
Algebraic optimization methods
While a two-
level circuit representation of circuits
strictly refers to the flattened view of the circuit in
terms of SOPs (sum-of-
products)
applicable to a PLA implementation of
design[clarification needed]
representation is a more generic view of the circuit in
terms of arbitrarily connected SOPs, POSs (product
of-
sums), factored form etc. Logic optimization
algorithms generally work either on the structural
(SOPs, factored form) or functional (BDDs, ADDs)
representation of the circuit.
Duality principle:-
It states that in a two-
valued Boolean
of an algebraic expression can be obtained simply by
interchanging OR and AND operators and by
re
placing IS by OS and OS by IS.
Duality theorem:-
According to the duality theorem, the following
conversions are possible in a given Boolean
expression:-
We can change each AND operators to an OR
operator and vice Versa.
We can complement any 1 or 0 in an
expression.
Programmable logic devices ( PLDs):
A device programmer is used to transfer the boolean
logic pattern into the programmable device. In the
International Journal of Trend in Scientific Research and Development (IJTSRD) ISSN: 2456
-6470
Dec 2018
Page: 133
McCluskey algorithm, later followed by the
o heuristic logic minimizer, the rapidly
improving chip densities, and the wide adoption of
HDLs for circuit description, formalized the logic
optimization domain as it exists today.
Today, logic optimization is divided into various
on the circuit representation
level logic optimization
level logic optimization
Based on circuit characteristics
Sequential logic optimization
Combinational logic optimization
Based on the type of execution
Graphical optimization methods
Tabular optimization methods
Algebraic optimization methods
level circuit representation of circuits
strictly refers to the flattened view of the circuit in
products)
— which is more
applicable to a PLA implementation of
the
design[clarification needed]
a multi-level
representation is a more generic view of the circuit in
terms of arbitrarily connected SOPs, POSs (product
-
sums), factored form etc. Logic optimization
algorithms generally work either on the structural
(SOPs, factored form) or functional (BDDs, ADDs)
valued Boolean
algebra the dual
of an algebraic expression can be obtained simply by
interchanging OR and AND operators and by
placing IS by OS and OS by IS.
According to the duality theorem, the following
conversions are possible in a given Boolean
We can change each AND operators to an OR
We can complement any 1 or 0 in an
appearing in the
Programmable logic devices ( PLDs):
-
A device programmer is used to transfer the boolean
logic pattern into the programmable device. In the
International Journal of Trend in Scientific Research and Development (IJTSRD) ISSN: 2456
@ IJTSRD | Available Online @
www.ijtsrd.com
early days of programmable logic, every PLD
manufacturer also produced a specialized device
programmer for its family of logic devices. Later,
universal device programmers came onto the market
that suppor
ted several logic device families from
different manufacturers. Today's device programmers
usually can program common PLDs (mostly
PAL/GAL equivalents) from all existing
manufacturers. Common file formats used to store the
boolean logic pattern (fuses) are
JEDEC, Altera POF
(programmable object file), or Xilinx BITstream.
Encoder:-
An encoder is a device, circuit, transducer, software
program, algorithm or person that converts
information from one format or code to another, for
the purposes of standardiz
ation, speed or
compression.
Examples
of Software for encoding audio, video,
images, or text into standardized formats:
1.
A compressor encodes data (e.g.,
audio/video/images) into a smaller form (see
codec)
2.
An audio encoder converts analog audio to
digital audio signals
3.
A video encoder converts analog video to
digital video signals
4.
A multiplexer combines multiple inputs into
one output
5.
An 8b/10b encoder creates a DC balance on a
communication transmission line
Latches and flip-flops:-
Latches and flip-
flops are the basic elements and these
are used to store information. One flip
-
can store one bit of data. The main difference between
the latches and flip-
flops is that a latch checks input
continuously and changes the output whenever th
is a change in input. But, the flip
combination of latch and clock that continuously
checks input and changes the output time adjusted by
the clock. In this article, we are going to look at the
operations of the numerous latches and flip
Both Latches and flip-
flops are circuit elements
wherein the output not only depends on the current
inputs but also depends on the previous input and
outputs. The main difference between the latch and
flip-flop is that a flip-
flop has a clock signal, wh
a latch does not. Basically, there are four types of
latches and flip-
flops: SR, D, JK, and T. The major
International Journal of Trend in Scientific Research and Development (IJTSRD) ISSN: 2456
www.ijtsrd.com
| Volume – 3 | Issue – 1 | Nov-
Dec 2018
early days of programmable logic, every PLD
manufacturer also produced a specialized device
programmer for its family of logic devices. Later,
universal device programmers came onto the market
ted several logic device families from
different manufacturers. Today's device programmers
usually can program common PLDs (mostly
PAL/GAL equivalents) from all existing
manufacturers. Common file formats used to store the
JEDEC, Altera POF
(programmable object file), or Xilinx BITstream.
An encoder is a device, circuit, transducer, software
program, algorithm or person that converts
information from one format or code to another, for
ation, speed or
of Software for encoding audio, video,
images, or text into standardized formats:
A compressor encodes data (e.g.,
audio/video/images) into a smaller form (see
An audio encoder converts analog audio to
A video encoder converts analog video to
A multiplexer combines multiple inputs into
An 8b/10b encoder creates a DC balance on a
communication transmission line
flops are the basic elements and these
-
flop and latch
can store one bit of data. The main difference between
flops is that a latch checks input
continuously and changes the output whenever th
ere
is a change in input. But, the flip
-flop is a
combination of latch and clock that continuously
checks input and changes the output time adjusted by
the clock. In this article, we are going to look at the
operations of the numerous latches and flip
-flops.
flops are circuit elements
wherein the output not only depends on the current
inputs but also depends on the previous input and
outputs. The main difference between the latch and
flop has a clock signal, wh
ereas
a latch does not. Basically, there are four types of
flops: SR, D, JK, and T. The major
differences between these types of flip
latches are the number of i/ps they have and how they
change the states. There are different va
each type of latches and flip-
flops which can enhance
their operations.
Shift registers:-
Shift registers are digital memory circuitry found in
devices such as calculators, computers, and data
processing systems. With the shift register, da
bits are entered into the system in a serial or parallel
manner. They enter from one direction, and as more
data is added, shift positions until they get to the
output end. The two ends are referred to as the left
and right end. Movement of data can
right, from right to left, or in both directions to make a
bi-
directional register. Shift registers can be
implemented such that each bit may be held in a latch.
The output of one latch can be connected to be the
input of another latch. Thi
nk of multiple connectors
used to extend an electric cord. With registers, data
can be fed one bit at a time (serially) or can be loaded
in a batch all at the same time (in parallel). Shift
registers can serve as data storage spaces or data
movement device
s. They are therefore commonly
implemented in devices such as calculators or
computers. They serve as temporary storage units for
binary data awaiting a mathematical operation such as
addition or multiplication.
A memory buffer register or memory data reg
the register in a computer's processor, or central
processing unit, CPU, that stores the data being
transferred to and from the immediate access storage.
It contains the copy of designated memory locations
specified by the memory address register.
Conclusion:-
Digital electronic circuits operate with voltages of two
logic levels namely Logic Low and Logic High. The
range of voltages corresponding to Logic Low is
represented with ‘0’. Similarly, the range of voltages
corresponding to Logic High is
‘1’.So, we can implement these Boolean functions by
using basic gates. The basic gates are AND, OR &
NOT gates. Digital logic is important in
programming, as well. Understanding digital logic
makes complex decision making possible in
programs.
International Journal of Trend in Scientific Research and Development (IJTSRD) ISSN: 2456
-6470
Dec 2018
Page: 134
differences between these types of flip
-flops and
latches are the number of i/ps they have and how they
change the states. There are different va
riations for
flops which can enhance
Shift registers are digital memory circuitry found in
devices such as calculators, computers, and data
processing systems. With the shift register, da
ta or
bits are entered into the system in a serial or parallel
manner. They enter from one direction, and as more
data is added, shift positions until they get to the
output end. The two ends are referred to as the left
and right end. Movement of data can
be from left to
right, from right to left, or in both directions to make a
directional register. Shift registers can be
implemented such that each bit may be held in a latch.
The output of one latch can be connected to be the
nk of multiple connectors
used to extend an electric cord. With registers, data
can be fed one bit at a time (serially) or can be loaded
in a batch all at the same time (in parallel). Shift
registers can serve as data storage spaces or data
s. They are therefore commonly
implemented in devices such as calculators or
computers. They serve as temporary storage units for
binary data awaiting a mathematical operation such as
A memory buffer register or memory data reg
ister is
the register in a computer's processor, or central
processing unit, CPU, that stores the data being
transferred to and from the immediate access storage.
It contains the copy of designated memory locations
specified by the memory address register.
Digital electronic circuits operate with voltages of two
logic levels namely Logic Low and Logic High. The
range of voltages corresponding to Logic Low is
represented with ‘0’. Similarly, the range of voltages
corresponding to Logic High is
represented with
‘1’.So, we can implement these Boolean functions by
using basic gates. The basic gates are AND, OR &
NOT gates. Digital logic is important in
programming, as well. Understanding digital logic
makes complex decision making possible in
International Journal of Trend in Scientific Research and Development (IJTSRD) ISSN: 2456
@ IJTSRD | Available Online @
www.ijtsrd.com
There are also some subtleties in programming that
are important to understanding; we'll get into that
once we've covered the basics. Digital logic circuits
are usually represented using these six symbols;
inputs are on the left and outputs are to t
While inputs can be connected together, outputs
should never be connected to one another, only to
other inputs. One output may be connected to multiple
inputs, however.
References:-
1)
Wikipedia of digital logic design
https://dld.org//.com
2)
GNU library online docs
https://enmwikipedia.org//.com
3)
William Kahan professor of Arithmetics in
Australia
4)
Guidance from Mrs. Neha Arora Department of
computer science IILM Academy of Higher
Learning Greater Noida.
International Journal of Trend in Scientific Research and Development (IJTSRD) ISSN: 2456
www.ijtsrd.com
| Volume – 3 | Issue – 1 | Nov-
Dec 2018
There are also some subtleties in programming that
are important to understanding; we'll get into that
once we've covered the basics. Digital logic circuits
are usually represented using these six symbols;
inputs are on the left and outputs are to t
he right.
While inputs can be connected together, outputs
should never be connected to one another, only to
other inputs. One output may be connected to multiple
Wikipedia of digital logic design
GNU library online docs
William Kahan professor of Arithmetics in
Guidance from Mrs. Neha Arora Department of
computer science IILM Academy of Higher
International Journal of Trend in Scientific Research and Development (IJTSRD) ISSN: 2456
-6470
Dec 2018
Page: 135
... The mobility of the digital electrons in NAND gate is three times higher than AND gate as well as NOR gate [11]. This statement refers to the digital logic gates (AND, OR, NAND). ...
Article
In this paper, the optimum EDFA gain is analyzed for an optical code division multiple access (OCDMA) at different bit rates for 30 km transmission distance. The Enhance Double Weight (EDW) code is used as a signature address of the system because this code can accommodate more number of simultaneous users under considerable standard Bit-Error-Rate (e.g. ≤ 10E-9). In addition, this system receiver is designed using NAND subtraction technique to produce better signal and increase the bit-error-rate (BER) performance and maintain error floor transmission rate (10E-9). The extensive theoretical and simulation has been taken into the account to carry out analysis. We ascertained from our analysis results that the proposed detention technique significantly improves the signal quality and require low optimum gain of the system. Therefore, this system is considered as a promising solution for Fiber-to-the-Home access network.
Article
In this paper, an optical code division multiple access (OCDMA) system was analysed to improve the bit error rate (BER) performance at 10 Gbps. The improvements to this system were based on a modified double weight code and a NAND subtraction technique and aimed to support the large number of active users in the fibre-to-the-home network. The system performance was investigated through extensive theoretical and numerical simulation analyses. The theoretical and simulation results revealed that the new detection technique exhibits improved BER performance compared to conventional techniques, such as complimentary subtraction techniques. The system performance was characterised by the signal-to-noise ratio, the bit error rate (BER), and various transmit powers ( $P_{sr} - 10$ dBm). The results show that the proposed system, which is based on a new detection technique, can achieve the optimal BER with a high number of users and maintains the error floor transmission rate $(\le 10^{-9})$ .
ResearchGate has not been able to resolve any references for this publication.