ArticlePDF Available

A Comparative Analysis of Different 32-bit Adder Topologies with Multiplexer Based Full Adder

Authors:

Abstract and Figures

Adders form an almost imperative component of every contemporary integrated circuit. Due to the rapidly growing mobile industry not only the speed arithmetic unit but also less area and low power arithmetic units are needed. The prerequisite of the adder is that it is predominantly fast and secondarily efficient in chip area. Several types of adders are available in practice; each type is used for meticulous purpose based on their performance and features. In this paper, the multiplexer based full adder is implemented in the design of various adders and they are compared on the basis of their execution parameters such as area, delay and power distribution by using conventional full adder and multiplexer based full adder.
Content may be subject to copyright.
International Journal of Engineering Science and Computing, May 2016 4850 http://ijesc.org/
DOI 10.4010/2016.1102
ISSN 2321 3361 © 2016 IJESC
A Comparative Analysis of Different 32-bit Adder Topologies with
Multiplexer Based Full Adder
D.Mohanapriya1, Dr.N.Saravanakumar2
PG Scholar1, Assistant Professor2
Department of ECE
BIT, Erode, Tamil Nadu, India
d.mohanapriya92@gmail.com1
Abstract:
Adders form an almost imperative component of every contemporary integrated circuit. Due to the rapidly growing mobile
industry not only the speed arithmetic unit but also less area and low power arithmetic units are needed. The prerequisite of the
adder is that it is predominantly fast and secondarily efficient in chip area. Several types of adders are available in practice; each
type is used for meticulous purpose based on their performance and features. In this paper, the multiplexer based full adder is
implemented in the design of various adders and they are compared on the basis of their execution parameters such as area, delay
and power distribution by using conventional full adder and multiplexer based full adder.
Keywords: Multiplexer based FA, Carry Bypass Adder, Carry Increment Adder, Carry Save Adder, Carry Select Adder, Carry
Skip Adder, Ripple Carry Adder.
I. INTRODUCTION
Arithmetic unit are the crucial blocks of digital systems such
as Digital Signal Processor (DSP), micro processors,
microcontrollers, and further data processing units. Adders
become a critical hardware unit for the efficient
implementation of arithmetic unit. In plentiful arithmetic
applications and other sort of applications, adders are not
only in the arithmetic logic unit, but also in other segment of
processor. Addition operation can also be used in
complement operations, encoding, decoding and so on. In
prevalent, addition is a process which involves two numbers
which are added and carry will be propagated. The addition
operations will result in sum value and carry value. All
complex adder architectures are constituted from its basic
building blocks such as Half Adder (HA) and Full Adder
(FA). In this paper, the multiplexer is used for propagate the
carry segment in the full adder and that proposed FA is
implemented in different types of adders.
II. ADDERS
The conventional and proposed full adder are figured in
Figure 1 and 2. In conventional adder the cary is propagated
by using three AND gate and one OR gate. In Figure 2
shows that the proposed Multiplexer based full adder where
the carry is propagated by using one 2:1 Multiplexer. The
design and of various adders such as Ripple Carry Adder
(RCA), Carry Increment Adder (CIA), Carry Save Adder
(CSA ),Carry Skip Adder (CSkA), and Carry Bypass Adder
(CBA) Carry Select Adder (CSlA) are disputed. The each
and every adder is designated based on the propagation of
carry between the stages.
Figure 1: Conventional full adder
Figure 2: Multiplexe based full adder
A.Ripple Carry Adder
The ripple carry adder is constituted by cascading full
adders (FA) blocks in series. One full adder is responsible
for the addition of two binary digits at any phase of the
ripple carry. The carryout of one phase is fed directly to the
carry-in of the next phase. A number of full adders may be
added to the ripple carry adder or ripple carry adders of
different sizes may be cascaded in order to furnish binary
vector strings of larger sizes. For an n-bit parallel adder, it
desire n computational elements (FA). It is composed of
four full adders. The augends’ bits of x are added to the
Research Article Volume 6 Issue No. 5
International Journal of Engineering Science and Computing, May 2016 4851 http://ijesc.org/
addend bits of y regard fully of their binary position. Each
bit addition creates a sum and a carry out. The carry out is
then dispatched to the carry in of the next higher-order bit.
The final result creates a sum of four bits plus a carry out
(c4). The four bit RCA adder is show in Figure 3.
Figure 3: Ripple carry adder for 4bit
B. Carry Skip Adder
As the name demonstrate, Carry Skip Adder (CSkA) uses
skip logic in the propagation of carry [1]. It is designed to
speed up the addition manipulation by adding a propagation
of carry bit around a portion of entire adder. The carry-in bit
cognominated as Ci. The output of RCA (the last stage) is
Ci+4. The Carry Skip circuitry consists of two logic gates.
AND gate acquire the carry-in bit and compares it with the
group of propagated signals [2] given below. The block
diagram of carry skip adder is show in Figure 4.
Figure 4: Block Diagram Carry Skip Adder
C. Carry Increment Adder
The architecture of Carry Increment Adder (CIA) subsists of
RCA’s and incremental circuitry [1]. The incremental circuit
can be arranged using HA’s in ripple carry chain with a
sequential order. The addition operation is done by dividing
total number of bits in to faction of 4bits and addition
operation is done using several 4bit RCA’s. The block
diagram of carry increament adder is show in Figure 5.
Figure 5: Block Diagram CIA
D. Carry Save Adder
In Carry Save Adder (CSA), the carry is not propagated
through the phases. Instead, carry is stored in present phase,
and updated as addend value in the next phase [2]. Hence,
the delay due to the carry is reduced in this scheme. The 8
bit for carry save adder is shown in Figure 6.
Figure 6: Carry Save Adder for 8 Bit
E. Carry Select Adder
Carry Select Adder (CSlA) architecture subsists of
independent generation of sum and carry i.e., Cin=1 and
Cin=0 are executed parallelly [14]. Sustained by Cin, the
external multiplexers elect the carry to be propagated to next
phase. Further, based on the carry input, the sum will be
elected. Hence, the delay is diminished. However, the
structure is increased due to the multiplicity of multiplexers
[4]. The block diagram of carry select adder is show in
Figure 7.
Figure 7: Block Diagram Carry Select Adder
International Journal of Engineering Science and Computing, May 2016 4852 http://ijesc.org/
F. Carry Bypass Adder
Here, RCA is used to add 4-bits at a time and the carry
generated will be propagated to next phase with help of
multiplexer using elect input as Bypass logic. By pass logic
is formed from the product values as it is computed in the
CLA. Sustained by the carry value and by pass logic, the
carry is propagated to the next phase [1].The architecture of
CBA is given in Figure 8..
Figure 8: Block Diagram of CBA
III IMPLEMENTATION
The Schematic diagram of Carry Bypass Adder, Carry
Increment Adder, Carry Save Adder, Carry Select Adder,
Carry Skip Adder and Ripple Carry Adder by using
multiplexer based full adder for 32 bit are listed below. All
adders are synthesized by Xmanager Enterprise 3(in 180nm
technology) Cadance tool.
Figure 9: Schematic diagram of 32 bit RCA
Figure 10: Schematic diagram of 32 bit CSkA
Figure 11: Schematic diagram of 32 bit CIA
Figure 12: Schematic diagram of 32 bit CSA
Figure 13: Schematic diagram of 32 bit CBA
International Journal of Engineering Science and Computing, May 2016 4853 http://ijesc.org/
Figure 14: Schematic diagram of 32 bit CSLA
IV. COMPARISON
The pursuance of adder topologies are discussed for
robustness against area, delay and power dissipation [1].
They are elected for this work since they have been
frequently used in many applications. Addition is an
indispensable manipulation for any high speed digital signal
processing, digital system or control system. Therefore
pertinent choice of adder topologies is an requisite concerns
in the design of VLSI integrated circuits for high speed and
high performance CMOS circuits. Power distribution, area,
gate count and delay for all adder topologies discussed
earlier are observed for using both conventional and
multiplexer based full adder.
Table 1: Comparison of Area inx10-6 m
Adders
Area by using
Conventional FA
Area by using
Multiplexer
based FA
RCA
4842
2980
CSkA
6426
4563
CIA
5681
3818
CSA
5913
4284
CSLA
10756
7264
CBA
6286
4423
Table 2: Comparison of Delay in ps
Adders
Delay by
using
Conventional
FA
RCA
7854
CSkA
8646
CIA
5904
CSA
8048
CSLA
2807
CBA
8583
From the power distribution, it is observed that the
maximum power distribution occurs for carry select adder
and next comes the carry save adder. The least power
distribution results for ripple carry adder and carry
increment adder. From the area distribution and cell usage,
the carry select and carry skip adders occupies more area
and cell usage, ripple carry and carry increment occupies
less area and cell usage. From this, it can be observed that
ripple carry adder is slowest adder but occupies less area
and power among all other. And the carry select adder is
fastest adder but occupies more area and power among all
other. Table shows that area, delay, power and gate count of
different types of adder by using conventional and
multiplexer based full adder.
Table 3. Comparison of Power in nW
Adders
Power by
using
Conventional
FA
Power by
using
Multiplexer
based FA
RCA
237624
208760
CSkA
313864
285216
CIA
282604
260509
CSA
317939
299164
CSLA
460419
422133
CBA
309403
280733
Table 4. Comparison of Gate count(cells)
Adders
Gate count by
using
Conventional
FA
Gate count
by using
Multiplexer
based FA
RCA
192
96
CSkA
256
160
CIA
228
132
CSA
240
156
CSLA
396
216
CBA
244
148
V.CONCLUSION
The different types of adders such as Carry Bypass Adder,
Carry Increament Adder, Carry Save Adder, Carry Select
Adder, Carry Skip Adder and Ripple Carry Adder are
designed by using conventional and multiplexer based full
adder for 32 bit and coded in verilog. The simulation is done
by Xilinx ISE 14.2 and the synthesize is done by cadence
Xmanager Enterprise 3. Table shows that the proposed
adder topologies by multiplexer based full adder results an
efficient area, power distribution, delay and gate count than
by using conventional full adder. In future, the adders will
be implemented in FPGA Spartan 6
International Journal of Engineering Science and Computing, May 2016 4854 http://ijesc.org/
VI.REFERENCES
[1] R.Uma, Vidya Vijayan, M.Mohanapriya, Sharon Paul,
“Area, Delay and Power Comparison of Adder
Topologies”, International Journal of VLSI Design &
Communication Systems, Vol. 3, No. 1, pp. 153-168,
Feb 2012.
[2] Raminder Preet Pal Singh, Praveen Kumar, Balwinder
Singh,“Performance Analysis of 32-Bit Array
Multiplier with a Carry Save Adder and with a Carry
Look Ahead Adder”, Letters of International Journal of
Recent Trends in Engineering, Vol. 2, No. 6, pp. 83-
89,Nov 2009.
[3] Sarabdeep Singh, Dilip Kumar,“Design of Area and
Power Efficient Modified Carry Select Adder”,
International Journal of Computer Applications, Vol.
33, No. 3, pp. 14-18, Nov 2011.
[4] Animul islam, M.W. Akram, S.D. pable, Mohd. Hasan,
“Design and Analysis of Robust Dual Threshold
CMOS Full Adder Circuit in 32 nm Technology”,
International Conference on Advanced in Recent
Technologies in Communication and Computing, 2010.
[5] Deepa Sinha, Tripti Sharma, K.G.Sharma,
Prof.B.P.Singh, “Design and Analysis of low Power 1-
bit Full Adder Cell”,IEEE, 2011.
[6] Nabihah Ahmad, Rezaul Hasan,“A new Design of
XOR-XNOR gates for Low Power application”,
International Conference on Electronic Devices,
Systems and Applications (ICEDSA), 2011.
[7] Y. Sunil Gavaskar Reddy, V.V.G.S. Rajendra Prasad,
“Power Comparison of CMOS and Adiabatic Full
Adder Circuits”, International Journal of VLSI design
& Communication Systems (VLSICS), Vol. 2, No. 3,
September 2011.
[8] Mariano Aguirre-Hernandez and Monico Linares-
Aranda,“CMOS Full-Adders for Energy-Efficient
Arithmetic Applications”, IEEE Transactions on Very
Large Scale Integration (VLSI) Systems, Vol. 19, No.
4, April 2011.
[9] Ning Zhu, Wang Ling Goh, Weija Zhang, Kiat Seng
Yeo, Zhi Hui Kong,“Design of Low-Power High-
Speed Truncation-Error-Tolerant Adder and Its
Application in Digital Signal Processing”, IEEE
Transactions on Very Large Scale Integration (VLSI)
Systems, Vol. 18, No. 8, August 2010.
[10] Sreehari Veeramachaneni, M.B. Srinivas, “New
Improved 1-Bit Full Adder Cells”, IEEE, 2008.
[11] Tripti Sharma, k.G.Sharma, Prof.B.P.Singh, Neha
Arora,“High Speed, Low Power 8T Full Adder Cell
with 45% Improvement in Threshold Loss Problem”,
Recent Advances in Networking, VLSI and Signal
Processing.
[12] G.Shyam Kishore,“A Novel Full Adder with High
Speed Low Area”, 2nd National Conference on
Information and Communication Technology (NCICT)
2011 Proceedings published in International Journal of
Computer Applications® (IJCA).
[13] Romana Yousuf, Najeeb-ud-din,“Synthesis of Carry
Select Adder in 65 nm FPGA”, IEEE.
[14] Shubin.V.V,“Analysis and Comparison of Ripple
Carry Full Adders by Speed”, Micro/Nano
Technologies and Electron Devices (EDM), 2010,
International Conference and Seminar on, pp. 132- 135,
2010.
... Jayanthi et al. [7] and SaiKumar et al. [21] did not restrict their research on PPA adders and further analyzed high speed VLSI adders. Mohanapriya et al. [15] investigated multiplexer-based adders using Cadence for 180nm technology. They reported the performance of the circuits in terms of speed, area, and power dissipation. ...
Article
Full-text available
Designing an application in hardware under inversely competing constraints such as area and performance with different objective functions such as power consumption and reliability of the circuits is a cumbersome task. Having different versions of the same resource type during the design process may ease this burden since there can be several alternative resources to meet the given constraints. In this paper, we characterize a library of some commonly used arithmetic circuits in FPGAs in terms of the speed, area, power consumption, and vulnerability to error propagation as the reliability parameter. Specifically, we implemented four well-known adders and two multipliers in an SRAM-based FPGA that is a part of Xilinx’s Zynq-7000 SoC platform. We then injected errors to the configuration bits of the circuits to evaluate the error propagation. The results show that different versions of the same resources can have different reliability values in addition to the area, latency, and power values.
Chapter
The design of High-Speed and Energy-Efficient Carry Select Adder (CSLA) for image processing applications results in the reduction of the number of transistors leading to less delay and high power dissipation. The adders are the basic building blocks of each processing unit. The novelty of this paper includes the proposal of a new CSLA which includes Final Selection Unit (FSU), Primary Carry Unit (PCU), Wave Carry Unit (WCU1, WCU2), these are split up into the suitable width in terms of bit. These blocks are integrated with functional blocks using random logic for which the output and input include only the carry function. The carry estimation is skipped in the first stage of every block of bit-slice. The design is synthesized using 180 nm CMOS technology for different input bit widths. The proposed CSLA shows a significant reduction in delay and area compared to existing adders.KeywordsThreshold logicWave carry unitFinal selection unitCarry save adder
Conference Paper
Speed, area and power are the main parameters of modern day’s devices. Adders are the main component of all digital devices and play a crucial role in signal processing. There are different types of adder for different type of work and environment. Time by time new adders was invented to minimize the basic problems i.e. Speed, Area and Power. Among them Carry Select Adder is the most trending area of research. All the work that has been done on adder is to optimize three parameters mentioned earlier. CSlA has better performance regarding propagation delay but problem arise with its chip area and power consumption capability. In this paper we have discussed about different types of basic adder and then briefly described about different types of Carry Select Adder (CSlA). Later we have proposed a modified SQRT model changing its sequence and the whole comparison has been carried out in Xilinx 14.7 ISE environment and coded using Verilog HDL. Specific graph and table of the values are given for better comparison.
Conference Paper
An adder is a fundamental component of various Very Large-Scale Integration (VLSI) circuits like Central Processing Unit (CPU), Arithmetic Logic Unit (ALU), Memory Access Unit (MAU) etc. A various number of operations can be achieved by adders such as addition, subtraction, multiplication, division, exponentiation etc. The basic circuit of the adder is designed using logic gates. The demand for high-performance VLSI systems are increasing rapidly for use in small and portable devices. The speed related to operation depends upon the delay of the adder as it happens to be one of the most fundamental components of all the computing units and it is a very important parameter for high performance. There have been so many research works on reducing the delay associated with the adder. In this paper, we have done a comparative study of Carry Save Adder (CSA) and Carry Increment Adder (CIA) and proposed a hybrid adder circuit to decrease the delay associated with the adder to an optimum level. As CIA has favorable performance regarding propagation delay and CSA also happens to have good performance in higher bit operations. A simulation study has been carried out for comparative study, the coding has been done using Verilog hardware description language (HDL) and the simulation has been realized with the help of Xilinx ISE 14.7 environment. The result shows the effectiveness of the hybrid circuit proposed for propagation delay improvement.
Article
Full-text available
Adders form an almost obligatory component of every contemporary integrated circuit. The prerequisite of the adder is that it is primarily fast and secondarily efficient in terms of power consumption and chip area. This paper presents the pertinent choice for selecting the adder topology with the tradeoff between delay, power consumption and area. The adder topology used in this work are ripple carry adder, carry look-ahead adder, carry skip adder, carry select adder, carry increment adder, carry save adder and carry bypass adder. The module functionality and performance issues like area, power dissipation and propagation delay are analyzed at 0.12µm 6metal layer CMOS technology using microwind tool.
Article
Full-text available
In most of the digital systems adder lies in the critical path that effects the overall speed of the system. So enhancing the performance of the 1-bit full adder cell is the main design aspect. The paper proposes the novel design of a 3T XOR gate combining complementary CMOS with pass transistor logic. The design has been compared with earlier proposed 4T and 6T XOR gates and a significant improvement in silicon area and power-delay product has been obtained. An eight transistor full adder has been designed using the proposed three transistor XOR gate. Compared to the earlier designed 10, 14, 16 transistor full adder, the proposed adder shows a significant improvement in silicon area and power delay product.
Article
Full-text available
Full adder is an essential component for designing all types of processors viz. digital signal processors (DSP), microprocessors etc. Demands for the low power VLSI have been pushing the development of design methodologies aggressively to reduce the power consumption drastically. In most of the digital systems adder lies in the critical path that affects the overall speed of the system. So enhancing the performance of the 1-bit full adder cell is the main design aspect. The present study proposes a low power full adder cell with least MOS transistor count that reduces the serious problem of threshold loss. It considerably increases the speed. Result shows 45% improvement in threshold loss problem and considerable reduction in power consumption over the other types of adders with comparable performance. The simulation has been carried out on Tanner EDA tool on BSIM3v3 90nm and 130nm technologies.
Article
Full-text available
In this paper, design of two different array multipliers are presented, one by using carry-look-ahead (CLA) logic for addition of partial product terms and another by introducing Carry Save Adder (CSA) in partial product lines. The multipliers presented in this paper were all modeled using VHDL (Very High Speed Integration Hardware Description Language) for 32-bit unsigned data. The comparison is done on the basis of three performance parameters i.e. Area, Speed and Power consumption. To design an efficient integrated circuit in terms of area, power and speed, has become a challenging task in modern VLSI design field. Previously in the literature, performance analysis was carried out between multiplier using Ripple carry adder (RCA) and by using CLA. In this work, same multiplier is designed by using CSA logic and compare it's performance with the multiplier designed by using CLA logic. Multiplier with CSA gives better result in terms of speed (78.3% improvement), area (reduced by 4.2%) and power consumption (decreased by 1.4%).
Article
Full-text available
In modern VLSI technology, the occurrence of all kinds of errors has become inevitable. By adopting an emerging concept in VLSI design and test, error tolerance (ET), a novel error-tolerant adder (ETA) is proposed. The ETA is able to ease the strict restriction on accuracy, and at the same time achieve tremendous improvements in both the power consumption and speed performance. When compared to its conventional counterparts, the proposed ETA is able to attain more than 65% improvement in the Power-Delay Product (PDP). One important potential application of the proposed ETA is in digital signal processing systems that can tolerate certain amount of errors.
Conference Paper
Full-text available
Optimization of power and speed is a very important issue in low-voltage and low-power applications. In this paper, a 1-bit full adder cell has been successfully analyzed by assigning high-threshold voltage to some transistors and low-threshold voltage to others. Moreover, a robust full adder circuit using dual threshold voltage MOSFETs (DT-MOS) has been proposed. The proposed design features lower power dissipation (by 0.11%), higher computing speed (by 4.23%) and lower energy (power delay product) (by 4.33%). The proposed design also offers 4.2% improvement in delay variability and 3.7% improvement in PDP variability at the expense of 2.5% reduction in power variability against process, voltage, and temperature (PVT) variation. The power, speed and energy evaluation has been carried out using extensive simulation on HSPICE circuit simulator. The simulation results are based on 32nm Berkeley Predictive Technology Model (BPTM).
Article
Full-text available
We present two high-speed and low-power full-adder cells designed with an alternative internal logic structure and pass-transistor logic styles that lead to have a reduced power-delay product (PDP). We carried out a comparison against other full-adders reported as having a low PDP, in terms of speed, power consumption and area. All the full-adders were designed with a 0.18-μm CMOS technology, and were tested using a comprehensive testbench that allowed to measure the current taken from the full-adder inputs, besides the current provided from the power-supply. Post-layout simulations show that the proposed full-adders outperform its counterparts exhibiting an average PDP advantage of 80%, with only 40% of relative area.
Article
Full-text available
Full adders are important components in applications such as digital signal processors (DSP) architectures and microprocessors. Apart from the basic addition adders also used in performing useful operations such as subtraction, multiplication, division, address calculation, etc. In most of these systems the adder lies in the critical path that determines the overall performance of the system. In this paper conventional complementary metal oxide semiconductor (CMOS) and adiabatic adder circuits are analyzed in terms of power and transistor count using 0.18UM technology.
Conference Paper
Due to rapidly growing system-on-chip industry, not only the faster units but also smaller area and less power has become a major design constraint for VLSI community. Further, demand for high speed is continuously increasing. In processors, most commonly used arithmetic operation is the addition operation. It is the adder delay that determines the maximum frequency of operation of the chip. Different topologies have been put forward, each providing trade-off between different performance parameters and as such no design is considered as superior. Carry select adder is considered to be best in terms of speed and provide compromise between ripple carry adder and carry look-ahead adder, but to a lesser extent at the cost of its area. The goal of this work is to synthesize and optimize the delay, area and Power-Delay Product (PDP) of carry select adder in 65 nm technology using FPGA's. This work proposes a new highspeed, low area design methodology for carry select adder. Basic cell of this proposed topology consists of an XOR gate, one inverter and two multiplexers. Further this proposed logic has been optimized in order to reduce the delay by making use of universal NAND gates. As a result of this area, delay and hence PDP has been reduced to larger extent. Glitches have also eliminated by proper selection of topology. Further, the result of the proposed-optimized carry select adder has been verified analytically by the method of Logical Effort.
Article
In this paper low power full adder using 11 transistors has been proposed. The main idea of design is based on improving the performance of 10 transistor full adder design mentioned in literature by sacrificing a transistor count. While the proposed circuit has negligible area overhead, it has remarkably improved power consumption and temperature sustainability when compared with existing design. BSIM3v3 90nm standard models are used for simulations on Tanner EDA tool.