ArticlePDF Available

Temperature-dependent emissivity of silicon-related materials and structures

Authors:

Abstract and Figures

The results of an ongoing collaborative project between the New Jersey Institute of Technology (NJIT) and SEMATECH on the temperature-dependent emissivity of silicon-related materials and structures are presented in this study. These results have been acquired using a spectral emissometer. This emissometer consists of a Fourier Transform Infra-Red (FTIR) spectrometer designed specifically to facilitate simultaneous measurements of surface spectral emittance and temperature by using optical techniques over the near- and mid-IR spectral range and temperatures ranging from 300 K to 2000 K. This noncontact, real-time technique has been used to measure radiative properties as a function of temperature and wavelength for a wide range of silicon-related materials and structures. The first results of the temperature and wavelength dependent emissivity and hence refractive index of silicon nitride, in the literature, is presented in this study
Content may be subject to copyright.
30 IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING, VOL. 11, NO. 1, FEBRUARY 1998
Temperature-Dependent Emissivity of
Silicon-Related Materials and Structures
Nuggehalli M. Ravindra, Member, IEEE, Sufian Abedrabbo, Wei Chen,
Feiming M. Tong, Arun K. Nanda, and Anthony C. Speranza
Abstract The results of an ongoing collaborative project
between the New Jersey Institute of Technology (NJIT) and
SEMATECH on the temperature-dependent emissivity of silicon-
related materials and structures are presented in this study. These
results have been acquired using a spectral emissometer. This
emissometer consists of a Fourier Transform Infra-Red (FTIR)
spectrometer designed specifically to facilitate simultaneous mea-
surements of surface spectral emittance and temperature by using
optical techniques over the near- and mid-IR spectral range and
temperatures ranging from 300 K to 2000 K. This noncontact,
real-time technique has been used to measure radiative properties
as a function of temperature and wavelength for a wide range
of silicon-related materials and structures. The first results of
the temperature and wavelength dependent emissivity and hence
refractive index of silicon nitride, in the literature, is presented
in this study.
Index Terms Emissivity, optical properties, rapid thermal
processing, silicon, silicon dioxide, silicon nitride, temperature
measurement.
I. INTRODUCTION
I
N SILICON device manufacturing, the current trend has
been to increase the physical dimensions of silicon wafers
and reduce device size. This coupled with the need to minimize
1) the temperature-time product or the thermal budget, 2)
the process-induced contamination, and 3) device failure, has
led to novel processes. One such process is rapid thermal
processing (RTP). By the end of this century, it appears that
single-wafer and cluster-based tools will be the manufacturing
approach taken by the silicon device industry. It is anticipated
that silicon wafers will be 300 mm in diameter, thus making it
difficult for batch-mode manufacturing that is currently prac-
ticed in horizontal furnaces. Rapid thermal oxidation (RTO)
of silicon represents the most demanding process, requiring
temperature measurement and control to
C [1]. The Mi-
croelectronics Manufacturing Science Technology (MMST)
program, funded by the Defense Advanced Research Projects
Manuscript received January 9, 1997; revised July 24, 1997. This work
was supported in part by grants from New Jersey Commission on Science
and Technology, SEMATECH Contract 360220900, the U.S. Air Force
Wright Laboratory, and the DARPA Microelectronics Technology Office under
Contract F33615-92-C-5817, DARPA (TRP) Contract DAAH04-94-C-0041,
and DARPA Contract DAAH04-95-1-0056 awarded through the U.S. Army
Research Office, Research Triangle Park, NC.
N. M. Ravindra, S. Abedrabbo, W. Chen, and F. M. Tong are with the
Department of Physics and Electronic Imaging Center, New Jersey Institute
of Technology, Newark, NJ 07102 USA (e-mail: ravindra@admin.njit.edu;
sxa0215@megahertz.njit.edu; feiming@megahertz.njit.edu).
A. K. Nanda and A. C. Speranza are with SEMATECH, Austin, TX 78741
USA (e-mail: arun.nanda@sematech.org; tony.speranza@sematech.org).
Publisher Item Identifier S 0894-6507(98)00320-0.
Agency (DARPA), has led to studies and evaluations of several
temperature sensors for RTP [2], [3].
For RTP, pyrometers are the instruments of choice for
in-situ temperature measurements. While the conventional
pyrometers enjoy the benefits of noncontact, real- time, and
fast thermal response, they are seriously limited by their
operating wavelengths and spatial resolution because of the
limited number of sensors. The emissivity of silicon is taken
as the reference for calibrating the pyrometer. Emissivity of
silicon is a complicated function of both temperature and
wavelength [4]. Above 650
C and at a wavelength of 3.5 m,
the emissivity of optically polished silicon is approximately
0.7.
In a related project that has been funded recently by
DARPA, WPAFB, ARO, and SEMATECH, we have been
performing research on the development of a real-time, in-
situ monitor for semiconductor processes in collaboration with
Advanced Fuel Research/On-Line Technologies [5]–[7]. This
process monitor, known as the spectral emissometer, and its
applications to silicon-related materials and device structures,
is the focus of this study.
II. E
MISSIVITY FUNDAMENTALS
Emissivity is an important parameter in radiation thermom-
etry. It is defined as the ratio of the radiance of a given object
to that of a blackbody at the same temperature and for the
same spectral and directional conditions. It is a function of
wavelength and temperature. It is a property which must be
known for accurate temperature determination of an object by
measurement of its emitted electromagnetic radiation with a
radiation thermometer. For normal incidence, the emissivity
of a plane parallel specimen is given by
(1)
where,
is the wavelength, is the true reflectivity and
is the true transmissivity. and are related to
the fundamental optical parameters—
, the refractive index
and
, the extinction coefficient by the following relations:
(2)
(3)
is the absorption coefficient and is the thickness of the
material. Thus, from (1), for a perfect opaque body, since
, Kirchoff’s law follows as
(4)
0894–6507/98$10.00 1998 IEEE
RAVINDRA et al.: TEMPERATURE DEPENDENT EMISSIVITY OF SILICON RELATED MATERIALS AND STRUCTURES 31
Fig. 1. Schematic of Benchtop emissometer showing components and optical paths for radiance, reflectance, and transmittance measurements.
The experimentally measured values of transmittance and
reflectance include effects such as light trapping and multiple
internal reflections depending on the angle of incidence, sur-
face roughness, presence of grains, grain-boundaries, interface
roughness, etc. These apparent transmittance
and appar-
ent reflectance
are related to real or true transmittance
and true reflectance , respectively, by the following
well known equations [4]:
(5)
(6)
Equations (5) and (6) are the result of considering multiple in-
ternal reflections. A simultaneous measurement of reflectance
and transmittance can yield true values of reflectance and
transmittance and therefore, the refractive index,
and the
extinction coefficient,
of single substrate materials. With
choice of appropriate models,
and of multilayers
can also be resolved from experimentally measured spectral
properties.
III. E
XPERIMENTAL DETAILS
The schematic of the spectral emissometer is presented in
Fig. 1. It consists of a hemi-ellipsoidal mirror providing two
foci, one for the exciting source in the form of a diffuse radiat-
ing near-blackbody source and the other for the sample under
investigation. A microprocessor controlled motorized chopper
facilitates in simultaneous measurement of sample spectral
properties such as radiance, reflectance and transmittance.
A carefully adjusted set of five mirrors provide the optical
path for measurement of the optical properties. The source of
heating of the samples is provided by oxy-acetylene/propane
torch. The sample size is typically in the range of 0.5 to 1
in in diameter. The spot size for the optical signal collection
from the sample is
mm in diameter. Thus the temperature
estimation, using the emissometer, is assumed to be uniform
over this small region of the sample. However, because of
safety considerations and potential sample contamination, var-
ious alternatives to heat the samples, uniformly in a controlled
environment, are being investigated.
The spectral emissometer consists of three GaAs lasers to
facilitate in aligning the sample at the appropriate focus. A
high resolution Bomem FTIR, consisting of Ge and HgCdTe
detectors, interfaced with a Pentium processor, permits data
acquisition of the measured optical properties.
Further, this on-line computer enables the user to flip the
mirrors to acquire transmission/reflection spectra via software
configurations such as Spectra Calc and GRAMS. The sys-
tem, acquired by NJIT from On-Line Technologies through a
research grant from DARPA, is the third of its kind in the
United States.
IV. R
ESULTS AND DISCUSSION
A. Temperature Measurement
The spectral emissometer allows for simultaneous measure-
ments of radiance
, reflectance , transmittance and the
temperature
of the sample at the measured point. The
theoretical background and methodology is as follows [8].
A sample is placed at one of the foci of the hemispherical
ellipsoidal mirror while the source, a blackbody at 900
C,
is at the other focus. The chopper (in Fig. 1) permits the
simultaneous acquisition of the radiative properties of interest
including the sample temperature. A front-surface sample
measurement, with the chopper closed, yields the sample’s
directional spectral radiance:
(7)
32 IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING, VOL. 11, NO. 1, FEBRUARY 1998
Fig. 2. Spectral emittance by closure for p-type silicon wafer with front side polished, measured in order at temperature up to
962
C. Wafer resisitivity cm.
where is the emissivity of the sample at temperature ,
and
is the theoretical Planck function at temperature .
The subscript
denotes the spectral frequency.
When the chopper is open, the measured radiation
will include that emitted by the sample and the blackbody
source radiation reflected by the sample in spectral directional-
hemispherical mode
(8)
where
is the constant blackbody source temperature,
which is maintained at 900
C, and is the spectral
directional-hemispherical reflectivity. The difference in the
two measurements is thus
. The constant source
radiation
is quantified by replacing the sample
with a perfect reflector (a gold mirror,
) and
measuring the spectrum in the chopper open condition. Thus,
the directional-hemispherical reflectance of the sample,
,
can be determined.
For an opaque sample, the spectral emittance,
. By rearrangement of (1), , the
surface temperature of the sample can be determined by direct
integration over the whole spectral region:
(9)
where the Stefan Boltzmann constant
W
cm K . The sample temperature can be obtained to within
C. For nonopaque samples, the directional-hemispherical
transmittance,
, is measured by flipping the selector mirror
and measuring the back-surface radiance and back-surface
radiance plus transmittance. The source radiation is quantified
with the sample absent, and the analysis to determine
follows that for . The more extensive closure relationship,
, is then used to determine . The temperature
of the samples can also be determined simultaneously by fitting
the sample’s radiance to the Planck’s black body curves.
B. Results of Measurements on Silicon
The applications of spectral emissometry to obtain emissiv-
ity as function of wavelength and temperature are illustrated
in the following section. While the measurements are being
performed on a variety of samples, the results of emissivity
measurements on p-type silicon, with front-side polished, as
function of wavenumber for temperatures in the range of
58
C to 962 C, are presented in Fig. 2. These wafers have
resistivities in the range of
cm and are 0.61–0.64
mm in thickness. The observed sharp features in the infrared
spectra in the wavelength range of 1
m (10000 cm )to
20
m (500 cm ) are due to the presence of the following
infrared sensitive molecules: (a) C in Si—607 cm
, (b)
SiO
—1110 cm (c) interstitial oxygen in Si—1130 cm ,
(d) water—1600 and 3500 cm
, (e) CO —2400 cm , (f)
Si
N —1206 cm . The narrow-band features below 1000
cm
(10 m) are due to lattice vibrations in silicon [9].
Spectrum
, in Fig. 2, at 196 C was measured after heating
the wafer to the maximum temperature of 962
C. Compar-
RAVINDRA et al.: TEMPERATURE DEPENDENT EMISSIVITY OF SILICON RELATED MATERIALS AND STRUCTURES 33
Fig. 3. Comparison of measured and of a lightly n-type doped silicon wafer (polished both sides) at 30 C (A, B, C) and 947 C (D, E, F).
ison of the emittance spectrum (i) to that in (b) indicates
reversibility in emittance changes. A similar measurement on
a double side polished, n-type lightly doped wafer exhibits
interesting properties. As can be seen in Fig. 3, the emissivity
of this wafer is negligible at room temperature while at
high temperatures, it approaches that of single side polished
silicon. The most change in this measurement is the loss of
transmissivity at elevated temperatures, due to increase in free
carrier density, with increase in temperature.
The total contribution to emissivity,
, is given by
for photon energy, is the bandgap, i.e.,
, the wavelength corresponding to absorption
edge; emissivity contributions are due to bandgap or above
bandgap absorption. For
, the emissivity con-
tributions are due to below bandgap absorption. The free
carrier absorption mechanism plays the dominant role in doped
semiconductors in the short-wavelength range [5], [6]. In the
long-wavelength range (
m), phonons contribute to emis-
sivity changes. These properties are function of temperature.
In general, our results of the temperature and wavelength
dependent emissivity of silicon and comparison with studies
in the literature [10]–[19] lead to the following observations:
The effect of doping, in general, is to reduce the transmittance.
Thus, intrinsic Si exhibits high transmittance. As temperature
increases, silicon becomes opaque. This facilitates in design-
ing heating sources (contact or noncontact) to measure high
temperature optical properties of silicon. Unlike pure silicon,
the effect of free carriers/doping is to reduce the emissivity of
silicon with increasing temperature. The effect of roughness is
to cause light trapping thereby reducing the transmittance and
increasing the reflectance. However, measurements performed
recently on undoped polycrystalline silicon have exhibited
34 IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING, VOL. 11, NO. 1, FEBRUARY 1998
Fig. 4. Refractive index as function of wavelength based on Sato’s results.
Fig. 5. Extinction coefficient as function of wavelength for two specific
temperatures based on Sato’s results.
high transmittance comparable to that of undoped silicon.
Much work needs to be performed to understand the effect
of roughness. Double side polished wafers seem to show
unusually low emissivities at low temperatures.
Based on Sato’s experimental
data, we have evaluated
and as function of wavelength and temperature for
silicon. The results of these evaluations are shown in Figs. 4
and 5. In Fig. 6, the calculated values of
, based on our
experimentally measured emissivity of p-type silicon (Fig. 2),
are plotted as function of temperature and wavelength. These
values of
follow from true which in turn have
been calculated from the measured values of
. From
Figs. 4 and 6,
can be seen to increase with increasing
temperature for a given wavelength. This is independent of
the type of dopant and the resistivity of the wafer.
shows
a similar trend with respect to temperature.
Jellison and coworkers [14], [20] have found that, below
the direct band edge, the refractive index of silicon can be fit
to an equation of the form
Fig. 6. Calculated values of refractive index as function of wavelength and
temperature based on our experimentally measured reflectance of p-Si. Wafer
resisitivity
cm.
where has been fit to a sixth order polynomial, is
the photon energy and
is the temperature. This equation has
been shown to be valid to interpret the temperature dependence
of the refractive index of silicon in the visible to UV range.
Our results of a trend in the increasing refractive index with
temperature for silicon in the infrared range are consistent
with those of Jellison et al.
C. Results of Emissivity Measurements
on SiO
/Si and Multilayers
Examples of the results of the temperature-dependent emis-
sivity for thin films of SiO
on Si in the thickness range of
65 to 500 nm, using spectral emissometry, are presented in
Figs. 7–9. In Fig. 7, the measured reflectance, transmittance
and emittance are plotted as function of wavenumber for four
specific temperatures for SiO
/Si with the oxide thickness of
512.4 nm. The spectral features at
cm are common to
all the SiO
/Si samples. This corresponds to a spectral region
for SiO
where and [21]. This condition is
referred to as the Christiansen effect [22] which has been
exploited in the fabrication of Christiansen filters [23]. The
effect of oxide layer on silicon is to reduce the transmit-
tance significantly. As the oxide thickness is increased, the
transmittance decreases even further. Our results of correlating
emissivity with temperature for SiO
/Si as function of oxide
thickness are summarized for one particular wavelength of
m in Fig. 8. The emissivity of SiO /Si is as
shown in this figure. These results of emissivity are plotted
as function of oxide thickness for four specific temperatures
at
m in Fig. 9. As can be seen in this figure,
the emissivity initially increases with oxide thickness and
subsequently decreases. The oxide thickness corresponding
to this emissivity maximum is independent of temperature
for a specific wavelength. Our measurements at
m, on these multilayers, indicate that the oxide thickness
corresponding to emissivity maxima shifts toward higher oxide
thickness.
RAVINDRA et al.: TEMPERATURE DEPENDENT EMISSIVITY OF SILICON RELATED MATERIALS AND STRUCTURES 35
Fig. 7. Infrared radiative properties of NJIT silicon wafer 10 120B with an oxide thickness (SiO ) of 5124 A at a’s) 58 C; b’s) 309 C; c’s)
655
C; and d’s) 915 C.
Fig. 8. Measured emissivity as a function of temperature for samples with
different thickness of SiO
coating on silicon at 1.53 m.
In the silicon semiconductor industry, manufacturers of
commercial RTP systems have chosen pyrometers to operate at
five specific wavelengths—0.95, 2.5, 2.7, 3.3, and 4.5
m. In
Fig. 10, emissivity of 10 nm SiO
/700 m p-Si (substrate)/160
nm SiO
/70 nm polysilicon is plotted as function of tempera-
ture for four specific wavelengths—2.5, 2.7, 3.3, and 4.5
m.
It is interesting to note that at temperatures above 600
C,
the emissivity is independent of temperature and wavelength.
This indeed is a remarkable and an interesting result that needs
further analysis especially for applications in pyrometry for
processes such as RTP.
D. Emissivity Measurements of Bulk Si
N
Temperature-dependent emissivity measurements have been
performed on 0.125-in thick Si
N wafer supplied by NOR-
TON. Examples of these results for temperatures of 135
C
and 805
C are presented in Fig. 11. The transmittance of this
bulk Si
N is negligible in the wavelength range of 1–20 m.
The extinction coefficient,
,ofSiN in the literature [24]
is known to be
at 0.27 m. An extensive search
for the data of optical constants of Si
N reveals that, below
1.24
m, the optical properties of Si N are unknown. Using
(4) (Kirchoff’s law) and (2) and neglecting
, we calculate the
refractive index as function of temperature of Si
N . These
results are summarized in Fig. 12. As can be seen in this
figure, the change in
with is very small for Si N in the
wavelength range of 1–20
m. The observed sharp dip in the
emissivity of Si
N beyond 8 m is due to its characteristic
absorption in the infrared region (1206 cm
).
E. Noncontact Methods of Heating
Much of the work on emissivity studies on semiconductors
in the literature has focused on silicon. Since silicon is
transparent below 600
C, several approaches are being inves-
tigated to establish noncontact and noninterfering methods of
heating silicon so that a reliable temperature-dependent study
of the optical properties may be performed. These methods
include the use of lamps, lasers, e-beams, and flames. The an-
ticipation is that the heat-source signal can be completely elim-
inated in the measurement process. The present approach of us-
ing flames is appropriate for the measurement technique since
the infrared spectra of the flames are very well known. On the
36 IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING, VOL. 11, NO. 1, FEBRUARY 1998
Fig. 9. Emissivity versus oxide thickness for four specific temperatures at m.
Fig. 10. Emissivity as function of temperature for four specific wavelengths—SiO /p-Si/SiO /poly silicon.
RAVINDRA et al.: TEMPERATURE DEPENDENT EMISSIVITY OF SILICON RELATED MATERIALS AND STRUCTURES 37
Fig. 11. Emissivity of bulk silicon nitride at temperatures of 135 C and 805 C.
Fig. 12. Refractive index of bulk silicon nitride at temperatures of 135 C and 805 C evaluated from measured emissivity (in Fig. 11).
other hand, flames will invariably modify the surface condi-
tions of the samples under study. Ideally, the method of choice
would be the one that does not modify the surface and bulk
composition of the material at the same time permitting the re-
quired optics to measure the radiance from all possible angles.
V. C
ONCLUSION
A spectral emissometer, operating in the wavelength range
of 0.8 to 20
m and temperature range of 300 to 2000 K, has
been described in the above study. Methodology of obtaining
temperature from simultaneous measurement of reflectance,
transmittance and radiance has been shown with applications
to silicon. Temperature and wavelength dependent emissivity
measurements have been performed on varieties of silicon-
related materials and structures using spectral emissometry. A
detailed analysis of the measurements on silicon has been pre-
sented. Interpretations have been sought from first principles as
well as from a qualitative understanding of the fundamentals of
optical properties. The effect of SiO
is to reduce transmittance
38 IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING, VOL. 11, NO. 1, FEBRUARY 1998
in the 1–20 m range. The emissivity of SiO /Si increases
initially with oxide thickness decreasing subsequently. This
oxide thickness corresponding to emissivity maxima remains
the same, independent of temperature, for a given wavelength.
Interesting results of constant emissivity beyond
C have
been observed on SiO
/Si/SiO /polysilicon structures for four
specific wavelengths. The first results of the temperature-
dependent emissivity and refractive index of bulk Si
N , in the
literature, have been reported here. In the temperature range
of 130–800
C, the refractive index of Si N does not change
significantly.
A
CKNOWLEDGMENT
The authors would like to thank A. Tello, Intel, for supplying
samples, D. Lindholm, SEMATECH, A. Fiory, Lucent Tech-
nologies, and T. Riley, AMD, for their interest and support
of this project. The constant interactions with J. Markham,
K. Kinsella and S. Farquharson, Advanced Fuel Research/On-
Line Technologies is gratefully acknowledged.
R
EFERENCES
[1] J. Wortman, “Technical summary,” in Semiconductor Research Corpora-
tion Workshop on Temperature Measurements, J. R. Burke, J. Wortman,
and S. A. Rizvi, Eds., Semiconductor Research Corp., Research Triangle
Park, NC, Feb. 1990, p. 1.
[2] C. Davis, M. M. Moslehi, A. Bowling, and J. D. Luttmer, “Micro-
electronics manufacturing science and technology equipment and sensor
technologies,” TI Tech. J., vol. 9, no. 5, pp. 20–43, Sept.–Oct., 1992.
[3] M. M. Moslehi, C. J. Davis, A Paranjpe, L. A. Velo, H. V. Najm, C.
Schaper, T. Breedijk, Y. J. Lee, and D. Anderson, “Single-wafer pro-
cessing tools for agile semiconductor production,” Solid State Technol.,
vol. 37, no. 1, pp. 35–45, Jan. 1994.
[4] K. Sato, “Spectral emissivity of silicon,” Jpn. J. App. Phys., vol. 6, no.
3, pp. 339–347, Mar. 1967.
[5] N. M. Ravindra, W. Chen, F. M. Tong, and Arun Nanda, “Emissivity
measurements and modeling—An overview,” in Transient Thermal
Processing Techniques in Electronic Materials, N. M. Ravindra and R.
K. Singh, Eds. Warrendale, PA, TMS Soc., Aug. 1996, pp. 159–164.
[6] N. M. Ravindra, F. M. Tong, S. Abedrabbo, W. Chen, W. Schmidt,
A. Nanda, T. Speranza, and A. M. Tello, “Applications of spectral
emissometry to silicon related materials,” in Fourth Int. Conf. Rapid
Thermal Processing, Boise, ID, Sept. 1996, pp. 190–204.
[7] N. M. Ravindra, F. M. Tong, W. Schmidt, W. Chen, S. Abedrabbo, A.
Nanda, T. Speranza, and A. M. Tello, “Spectral emissometer—A novel
diagnostic tool for semiconductor manufacturing,” ISSM’96, in Proc.
Fifth Int. Symp. Semiconductor Manufacturing, Tokyo, Japan, Oct. 1996,
pp. 101–104.
[8] J. R. Markham, K. Kinsella, R. M. Carangelo, C. B. Brouillitte, M. D.
Carangelo, P. E. Best, and P. R. Solomon, “A bench top FT-IR based
instrument for simultaneously measuring surface spectral emittance and
temperature,” Rev. Sci. Instrum., vol. 64, no. 9, pp. 2515–2522, Sept.
1993.
[9] J. R. Ferraro and K. Krishnan, Eds., Practical Fourier Transform
Infrared Spectroscopy. Orlando, FL, Academic, 1990.
[10] P. Vandenabeele and K. Maex, “Influence of temperature and back-
side roughness on the emissivity of Si wafers during rapid thermal
processing,” J. Appl. Phys., vol. 72, pp. 5867–5875, 1992.
[11] N. M. Ravindra, F. M. Tong, W. F. Kosonocky, J. R. Markham, S. Liu,
and K. Kinsella, “Temperature dependent emissivity measurements of
Si, SiO
/Si and HgCdTe,” in Proc. Materials Research Soc., 1994, vol.
342, pp. 431–436.
[12] N. M. Ravindra, F. M. Tong, S. Amin, J. Shah, W. F. Kosonocky, N.
J. McCaffrey, C. N. Manikopoulos, B. Singh, R. Soydan, L. K. White,
P. Zanzucchi, D. Hoffman, J. R. Markham, S. Liu, K. Kinsella, R. T.
Lareau, L. M. Casas, T. Monahan, and D. W. Eckart, “Development of
emissivity models and induced transmission filters for multi-wavelength
imaging pyrometry,” in Proc. SPIE, 1994, vol. 2245, pp. 304–318.
[13] H. H. Li, “Refractive index of silicon and germanium and its’ wave-
length and temperature dependencies,” J. Phys. Chem. Ref. Data 9,p.
561, 1980.
[14] G. E. Jellison, Jr. and F. A. Modine, “Optical functions of silicon at
elevated temperatures,” J. Appl. Phys., vol. 76, pp. 3758–3761, 1994.
[15] P. J. Timans, “Emissivity of silicon at elevated temperatures,” J. Appl.
Phys., vol. 74, pp. 6353–6364, 1993.
[16] J. Nulman, S. Antonio, and W. Blonigan, “Observation of Si wafer
emissivity in RTP chambers for pyrometric temperature monitoring,”
Appl. Phys. Lett., vol. 56, p. 2513, 1990.
[17] P. J. Timans, “The thermal radiative properties of semiconductors,” in
Advances in Rapid Thermal and Integrated Processing, F. Roozeboom,
Ed. Dordrecht, The Netherlands: Kluwer, 1996, ch. 2, pp. 35–101.
[18] C. Schietinger, “Wafer emissivity in RTP,” in Advances in Rapid
Thermal and Integrated Processing, F. Roozeboom, Ed. Dordrecht,
The Netherlands: Kluwer, 1996, ch. 4, pp. 125–142.
[19] K. F. Jensen, H. Simka, T. G. Mihopoulos, P. Futerko, and M.
Hierlemann, “Modeling approaches for rapid thermal chemical vapor
deposition: Combining transport phenomena with chemical kinetics,” in
Advances in Rapid Thermal and Integrated Processing, F. Roozeboom,
Ed. Dordrecht, The Netherlands: Kluwer, 1996, ch. 11, pp. 305–332.
[20] G. E. Jellison, Jr. and H. H. Burke, “The temperature dependence of
the refractive index of silicon at elevated temperatures at several laser
wavelengths,” J. Appl. Phys., vol. 60, pp. 841–843, 1986.
[21] H. R. Phillip, “Silicon dioxide (SiO
),” in Handbook of Optical Con-
stants of Solids, E. D. Palik, Ed. Orlando, FL: Academic, 1985, pp.
719–769.
[22] C. F. Bohren and D. R. Huffman, Absorption and Scattering of Light by
Small Particles. New York: Wiley, 1983.
[23] W. L. Wolfe, “Optical materials,” in Infrared Handbook, W. L. Wolfe
and G. J. Zissis, Eds. Ann Arbor, MI: Environmental Res. Inst., 1989,
ch. 7, pp. 7–1–7-137.
[24] H. R. Phillip, “Silicon nitride (Si
N ),” in Handbook of Optical Con-
stants of Solids, E. D. Palik, Ed. Orlando, FL: Academic, 1985, pp.
771–774.
Nuggehalli M. Ravindra (M’87) received the B.S.
degree in 1974, the M.S. degree in 1976 from
Bangalore University, India, and the Ph.D. degree
in 1982 from the University of Roorkee, India.
From 1982 to 1987, he was associated with CNRS
Laboratories, France; the International Center for
Theoretical Physics, Trieste, Italy; the Microelec-
tronics Center of North Carolina; and Vanderbilt
University, Nashville, TN, He is now Associate
Director of the Electronic Imaging Center, New
Jersey Institute of Technology, Newark, where he
has been on the Physics faculty since 1987. His research intrests have been in
the areas of microelectronics, optoelectronics, process monitoring and control,
temperature sensors, and related topics. He has been an author or coauthor of
more than 90 refereed publications and has edited the book Transient Thermal
Processing Techniques in Electronic Materials (Warrendale, PA, TMS, 1996).
Sufian Abedrabbo received the B.A. degree in
applied physics in 1993 and the M.S. degree in
1997 from the New Jersey Institute of Technol-
ogy/Rutgers University, Newark, where he is cur-
rently pursuing the Ph.D. degree in applied physics.
He is working on problems related to Emissivity
measurement and modeling and applications to RTP.
Wei Chen received the B.S. and M.S. degrees in
physics from Nankai University, China, in 1991 and
1994, respectively. He is currently a Ph.D. student,
majoring in applied physics, at the New Jersey
Institute of Technology, Newark. He is working
on problems related to the grain-enhancement of
amorphous silicon using optical processing, with
the National Renewable Energy Laboratory, Golden,
CO, a project sponsored by the U.S. Department of
Energy.
RAVINDRA et al.: TEMPERATURE DEPENDENT EMISSIVITY OF SILICON RELATED MATERIALS AND STRUCTURES 39
Feiming M. Tong graduated from Fudan Univer-
sity, Shanghai, China, in 1960.
She has been a senior scientist at the New Jer-
sey Institute of Technology (NJIT), Newark, since
1991. Before coming to NJIT, she was employed
as a Research Professor at Shanghai Institute of
Technical Physics, Chinese Academy of Sciences.
Her research activities are in the areas of infrared
detectors, image sensors, infrared filters, and elec-
tronic and photonics materials and devices. She is
the author or coauthor of more than 50 publications.
Arun K. Nanda received the Ph.D. degree in
chemical engineering from Iowa State University,
Ammes, in 1981.
He joined Bell Labs in 1987. He has been
working as an assignee of Bell Labs, Lucent
Technologies, at SEMATECH, Austin, TX, since
1994. His interests are in RTP temperature control,
and chemical and mechanical polishing (CMP).
Anthony C. Speranza received the B.S. degree in
mathematics from Trinity College, Burlington, VT,
in 1992, and is currently pursuing the M.S. degree
in materials science at the University of Vermont.
He has been with IBM since 1980 and is cur-
rently an assignee at SEMATECH, Austin, TX. His
interests are in the areas of equipment engineering,
process development, device characterization, and
CMOS integration.
... This observation is strengthened by evidence of a dramatic rise in semiconductor's sub-bandgap emissivity at elevated temperatures. [13][14][15] A thermodynamic limit on the amount of this sub-bandgap radiation is placed by minimizing the process's entropy production. We thus arrive at a unified formulation of a PV process that can uphold its DB constraint in addition to thermodynamics' first and second laws. ...
... 2 In particular, we note the observed significant variations in the sub-bandgap emissivity of heated semiconductors, up to the point where practically a black-body source is being observed. [13][14][15] We are thus led to study a PV system with non-zero sub-bandgap emissivity/absorptivity. Since the generation of electron-hole pairs cannot be affected by sub-bandgap processes, the only modification is to the EB constraint, which now reads: ...
... Finally, ε sbg shows a similar trend as the temperature, in agreement with the known behavior of semiconductors at elevated temperatures. [13][14][15] However, unlike these past studies, here, the thermodynamic limit of this property, ε sbg , is one of the system's variables, just like T and V , rather than being an external parameter. For the most part, however, the value of ε sbg is negligible unless high concentrations or low thermal conductivities are encountered -a known heuristic fact. ...
Preprint
Full-text available
The efficiency of a photovoltaic converter (solar cell) illuminated by a thermal source (sun) is commonly determined with Shockley and Queisser’s approach. The strength of this approach lies in its simplicity: All one needs to know is the solar cell’s bandgap, and the efficiency emerges from a detailed balance equation of the electron-hole pair generation and depletion rates at a given temperature. This article studies a single junction cell in outer space to show that a detailed balance approach is not always thermodynamically compatible. We then show that this inconstancy resolves once the cell’s sub-bandgap emission and absorption are included in its energy balance. Generalizing this result, we propose a unified formulation for a photovoltaic process that maintains its detailed balance constraints while not giving away thermodynamics’ first and second laws at all times and under any circumstances. Most importantly, our unified model allows heat conduction consideration to enter the photovoltaic analysis. Therefore, the proposed approach is critical for a single-junction cell and every photovoltaic process with an ample radiative power supply or limited conduction of heat such as concentrated space solar, thermo-photovoltaics thermoradiative, and thermophotonics power schemes.
... Thickness of Si and SiO 2 are provided by the Si wafer manufacturer. According to literature, the average emissivity of SiO 2 with around 300 nm thickness on Si substrate is around 0.6 in the region of 1∼10 µm within the temperature of 200°C [26,27]. Therefore, all the exposed surfaces of SiO 2 are set with the emissivity of 0.6 in the radiation heat transfer module. ...
... h and ε have only to be on the surfaces in contact with air, and their value are based on literature, material library, or measured data. In this paper, h is defined as described above by the equivalent parameter h eq and ε is refer to literatures [26,27]. ...
Article
Full-text available
Strong demand for developing the photothermal (PT) and electrothermal devices with ultra-large array is increasing. Thermal performance prediction is vital to optimize the key properties of the devices with ultra-large array. Finite element method (FEM) provides a powerful numerical approach for solving complex thermophysics issues. However, for calculating the performance of devices with ultra-large array, it is very memory-consuming and time-consuming to build an equal scale three-dimensional (3D) FEM model. For an ultra-large periodic array irradiated with a local heating source, the use of periodic boundary conditions could lead to considerable errors. To solve this problem, a linear extrapolation method based on multiple equiproportional models (LEM-MEM) is proposed in this paper. The proposed method builds several reduced-size FEM models to carry out simulation and extrapolation, which avoids dealing with the ultra-large arrays directly and greatly reduces the computation consumption. To verify the accuracy of LEM-MEM, a PT transducer with beyond 4000 × 4000 pixels is proposed, fabricated, tested and compared with the prediction results. Four different pixel patterns are designed and fabricated to test their steady thermal properties. The experimental results demonstrate that LEM-MEM has great predictability, and the maximum percentage error of average temperature is within 5.22% in four different pixel patterns. In addition, the measured response time of the proposed PT transducer is within 2 ms. The proposed LEM-MEM not only provides design guidance for optimizing PT transducers, but is also very useful for other thermal engineering problems in ultra-large array that requires facile and efficient prediction strategy.
... Due to reduced thermal conduction along the thermal bridge arms, the radiative heat coupling is also critical in the performance of state of the art bolometers [57,58]. As a result, the radiative heat transfer from the top and bottom surfaces of the pixel and supporting legs and substrate were also included, using an emissivity of 0.7, equal to that of silicon, for both PS and a-Si [59,60]. Fig. 12 shows the transient heating curve of the pixel resulted from a 100 nW step of heat applied to the membrane. ...
Article
Friction stir welding (FSW) has been widely used in welding of metal. However, it is difficult to weld high melting point metal by FSW because of short life or high price of FSW tools. Silicon nitride tool can have both long life and low cost. Although the silicon nitride tool, which has high temperature mechanical properties, could have a long tool life for FSW of steel, it is not clear how FSW conditions affected the tool life. In this study, changes in the shape of the FSW tool was focused. A comparative verification of the tool shape changes due to the FSW conditions was carried out, and specific shape changes in silicon nitride tools were verified. The silicon nitride tool was worn only at the shoulder and not at the probe. Furthermore, the wear shape was different depending on rotation speed and welding speed of the tool. The factors that cause them were analyzed using temperature and stress simulations for silicon nitride tools. Increasing the rotation speed broadened temperature distribution, increased the peak temperature and narrowed the stress distribution. When the welding speed was increased, the temperature distribution became narrower and peak temperature was lower, and the thermal asymmetry increased, while the stress distribution expanded and the stress asymmetry increased. When the welding speed was high, the agitation in the plastic flow zone was insufficient, and there were places where the stress and temperature were low around the shoulder. It is considered that these decrements of the stress and temperature lead to the concave part remaining on the outer circumference. Therefore, it is important to control both the welding speed and the rotation speed of the silicon nitride tool in order to suppress the protrusion which is main reason of the tool breakage.
Conference Paper
View Video Presentation: https://doi.org/10.2514/6.2022-0678.vid The heat transfer behavior of a high-temperature cylindrical heater is evaluated over a wide range of flow conditions in a circular cross section experimental facility. Hot surface heaters exhibit promising energy addition functionality to support multi-fuel operation in compression ignition (CI) engines, but their thermal performance has not been carefully analyzed. This work aims to investigate heat transfer of a ceramic heater in a well-controlled environment. Calculations are performed to predict flow conditions within a light-duty CI engine throughout the operating cycle. Based on these results, an experimental apparatus is designed to emulate engine-relevant air flow. Surface temperature measurements are conducted to estimate convective cooling behavior, and an analytical heat transfer model is developed to account for conductive and radiative heat transfer processes. By identifying the capabilities and limitations of state-of-the-art heating devices, this analysis provides valuable insight into the design requirements of next-generation ignition systems.
Chapter
In this chapter, definitions of all radiative properties that are relevant for real opaque surfaces, namely emittance, reflectance, absorptance, and transmittance, are presented. Electromagnetic wave theory is then applied to predict trends of radiative properties for metals and for dielectrics (electrical nonconductors). These theoretical results are compared with a limited number of experimental data. This is followed by a brief discussion of phenomena that cannot be predicted by electromagnetic wave theory, such as effects of surface roughness, of surface oxidation and contamination, and the preparation of “special surfaces,” i.e., surfaces whose properties are customized through surface coatings, controlled roughness, and geometric pattering. The radiative properties of the Earth's surface and their implications on climate change are also discussed. The chapter concludes with a discussion of experimental techniques that are often used to measure radiative surface properties.
Article
A multi-wavelength measurement method for the high-temperature radiation properties of semi-transparent materials heated by high power laser and irradiated by the infrared source with alternating spectral distributions is introduced. The method avoids the strong dependence of existing methods on accurate temperature measurements or emissivity model of semi-transparent samples. The spectral emissivity, reflectance, transmittance and temperature of semi-transparent samples can be determined simultaneously. Furthermore, we established the experimental device, including a FTIR spectrometer (spectral range of 2.0∼16 μm), a 500 W 915 nm fiber laser, and an infrared SiC emitter irradiation source. The radiation properties of the oxidized stainless-steel sample (opaque materials) and n-type, phosphorous-doped silicon wafer sample (semi-transparent materials) were investigated experimentally at different temperatures (400∼800 °C). With the increase of laser power, the upper limit of sample temperature can be extended to higher temperatures. The measurement results show that the infrared spectral emissivity of stainless-steel increases with the increase of temperature. The spectral emissivity of semi-transparent n-type, phosphorous-doped silicon wafer increases with the increase of temperature, while the spectral directional-hemispherical reflectance decreases with the increase of temperature. The results of temperature and wavelength dependent emissivity of silicon wafer sample are consistent with the literatures, which verifies the applicability of the device. The research work provides a useful reference for measuring the high-temperature radiation properties of semi-transparent materials.
Conference Paper
Full-text available
A spectral emissometer consisting of a Fourier Transform Infra-Red spectrometer designed specifically to facilitate simultaneous measurements of surface spectral emittance and temperature by using optical techniques over the near- and mid-IR spectral range and temperatures ranging from 300K to 2000K, has been described here. This non-contact, real-time technique has been used to measure radiative properties as a function of temperature and wavelength for a wide range of materials. In this presentation, we will discuss our recent results of the temperature and wavelength dependent measurements of emissivity of silicon related materials and device structures of interest to semiconductor industry.
Conference Paper
Full-text available
A brief overview of the emissivity measurements and modeling studies, that are currently at progress at NJIT, is presented. This study focuses on: (a) the fundamentals of emissivity calculations from wavelength and temperature dependent optical constants such as the refractive index and extinction coefficient, (b) analysis of Sato's study, (c) our previous experimental results, (d) our proposed approach to modeling of the wavelength and temperature dependent emissivity and (e) the results of measurements of samples obtained from SEMATECH.
Chapter
Chemical vapor deposition (CVD) performed in rapid thermal processing (RTP) chambers, also referred to as rapid thermal chemical vapor deposition (RTCVD), has been demonstrated for a wide range of typical microelectronics manufacturing processes [1], including growth of silicon [2], silicon oxide [3], and silicon nitride [4], as well as new processes, such as the growth of silicon germanium alloys [5]. These CVD systems share common features of gas-phase and surface reactions combined with fluid flow, heat transfer, and chemical species transport (cf. Figure 1).
Chapter
All objects at the same temperature do not radiate energy the same way. In 1860 Kirchhoff introduced the powerful new concept: that a suitably shaped cavity with a small opening radiates energy solely as a function of temperature [1]. In 1896 Wien published his theoretical treatment of blackbody radiation based purely on thermodynamics [2]. Wien correctly described the peak blackbody wavelengths as a function of temperature, but failed to produce an accurate mathematical model for blackbody radiation. On December 14, 1900, in Berlin, Max Planck suggested the following equation to describe blackbody radiation: $$I = 8\pi ch/{\lambda ^5}\left( {{e^{ch/\lambda kT}} - 1} \right)$$ (1) where I is the intensity of the emitted light, c is the speed of light, λ is the wavelength of light, and h is the Planck constant. The Planck constant, h, is equal to the very tiny quantity, 6.6 × 10-34 Joule seconds. The revolutionary nature of the Planck equation comes from this constant. It implies a definite lower limit on the discrete energy, or quantum jumps, or “bands” that are allowed by the atoms that emit the radiation.
Article
The development of rapid thermal processing (RTP) techniques for the fabrication of advanced electronic devices requires a detailed understanding of the thermal radiative properties of semiconductor wafers. Fig. 1 illustrates the main reasons to be interested in these properties: (a) The spectral emissivity of a wafer affects the amount of radiation emitted at the pyrometer wavelength, and determines temperature measurement errors in pyrometry. (b) The total hemispherical emissivity of a wafer affects the total heat loss by radiation from a wafer at any given temperature. (c) The total absorptivity is related to the efficiency of coupling lamp radiation to a wafer.
Chapter
The room-temperature optical properties of silicon dioxide (SiO2) glass is extensively analyzed to obtain a self-consistent set of optical constants, refractive index n and extinction coefficient k, for this material, especially in the regions of strong absorption in the infrared and vacuum ultraviolet. However, when the absorption is high, these optical constants are usually obtained by Kramers- Kronig (KK) analysis of reflectance data that are difficult to measure with high accuracy. The KK analysis requires extrapolations into spectral regions for which no data exist, thereby introducing additional uncertainties in the derived n and k values. But in the region of low absorption, the index of refraction can be evaluated from prism data, and this is accomplished with great precision for SiO2. It is also noted for SiO2, the presence of water or OH absorption in the samples makes the determination of the intrinsic k values extremely difficult in certain parts of the infrared and vacuum ultraviolet spectral regions.
Article
The emissivity of silicon was observed in the spectral region from 0.4 to 15 mu at various temperatures from 340°K to 1070°K by using two n-type specimens with the resistivity of 15 ohm-cm and 7× 10-3 ohm-cm at 300°K, respectively. The thermal radiation of silicon consists of three types due to band-to-band transition, free carriers and lattice vibration. It was made clear from the emissivity measurement that, in a pure specimen, the radiation due to lattice vibration is conspicuous at low temperatures, while that due to free carriers is predominant at higher temperatures, and that, in a doped specimen, the radiation due to free carriers is predominant even at low temperatures. The observed emissivity is close to the theoretical formula represented by the refractive index n and the extinction coefficient k. The temperature dependence of n and k is shown and a brief discussion is also added.