Article

Revealing the Crystalline Integrity of Wafer Scale Graphene on SiO2/Si: An Azimuthal RHEED Approach

Authors:
To read the full-text of this research, you can request a copy directly from the authors.

No full-text available

Request Full-text Paper PDF

To read the full-text of this research,
you can request a copy directly from the authors.

... It was previously shown [28,65,66], the RHEED technique can be applied for visualization of graphene reciprocal structure and to analyze the ordering of its crystalline domains. Previously [38], it was shown that in the case of a single-crystal 2D material, its reciprocal space structure consists of vertical rods. ...
... In general, bands form in RHEED patterns in 2D materials ( Figure S4a). We obtained the reciprocal lattice of the 2D material by the measuring of streaks as a function of the momentum transfer parallel to the surface (k∥) at different azimuthal angles φ [28,65,66]. We constructed a reverse space map for graphene with two types of crystallites with a mutual rotation of 30° ( Figure S4c), which is synthesized on a Cu (100) catalyst using the CVD method [28]. ...
... In the reciprocal space, the radius and polar angle correspond to the reciprocal distance from the (00) spot and azimuthal angle, respectively. Figure 4b,e shows the intensity profiles of line scans as a function of k|| (the distances from (hk) to (00)) at a fixed value k⊥ [28,65]. Since a sample with FLG is more characterized by SLG regions, the widened peaks characteristic of graphene are noticeable in the RHEED images (Figure 4a-c). ...
Article
Full-text available
Single-layer (SLG)/few-layer (FLG) and multilayer graphene (MLG) (>15 layers) samples were obtained using the CVD method on high-textured Cu foil catalysts. In turn, plasma-assisted molecular beam epitaxy was applied to carry out the GaN graphene-assisted growth. A thin AlN layer was used at the initial stage to promote the nucleation process. The effect of graphene defectiveness and thickness on the quality of the GaN epilayers was studied. The bilayer graphene showed the lowest strain and provided optimal conditions for the growth of GaN/AlN. Theoretical studies based on the density functional theory have shown that the energy of interaction between graphene and AlN is almost the same as between graphite sheets (194 mJ/m2). However, the presence of vacancies and other defects as well as compression-induced ripples and nitrogen doping leads to a significant change in this energy.
... Almost single-domain graphene can be deposited by the CVD method on a copper film epitaxially grown on a sapphire wafer. 22 Highly textured catalysts (copper or nickel foil) 23 can be used аs a less expensive alternative to this approach. But even in the case of highly textured foil, Cu grain boundaries act as obstacles to graphene growth and deteriorate graphene's quality. ...
... For instance, the RHEED method is able to reveal the symmetry of the graphene structure and display graphene in the reciprocal space. 22,39 Electron beam in RHEED strikes sample surface from a glancing angle (∼1°), making this technique extremely valuable to investigate the crystalline integrity of wafer-scale graphene samples and could be a valuable tool to check the structure of graphene on oxides before making devices. ...
... Figure 5 (1 1), while the second set (k jj ¼ 5:1 Å À1 ) can be marked as 2 1, 11, 12, 21, 1 1 , and 1 2. The presence of sixfold symmetry elements at the reciprocal space map for the graphene sample grown on nonmonocrystalline catalyst can be explained on the basis of the following considerations. 22 In the case of (111) textured foil, the graphene growth direction is rotated 60°in-plane at the Cu twin boundaries. Since graphene is sixfold symmetric, this 60°rotation is equivalent to no rotation in terms of in-plane orientation. ...
Article
We demonstrate the growth features of III-nitrides on graphene buffer layers obtained by the CVD method on a copper catalyst with different dominant grain orientations. The reflection high-energy electron diffraction technique (RHEED) is used to map the 2D reciprocal space structures of graphene buffers and growing nitride layers. The RHEED reciprocal space pattern for the graphene layer grown on a (111) tex-tured copper foil and transferred to a SiO 2 /Si substrate demonstrates the sixfold symmetry characteristic of a highly oriented material. In turn, graphene grown on a Cu (100) foil consists of two types of domains that are 30°rotated relative to each other. It has also been demonstrated that III-nitride films exactly repeat the texture of the 2D graphene buffers. The GaN sample grown over the highly textured substrate demonstrates a clear sixfold symmetry of the RHEED reciprocal space map as well as {10 13} XRD pole figure, which is close to 2D surface morphology. In turn, the GaN film grown over the graphene buffer layer transferred from the Cu (100) textured foil has 12-fold axial symmetry , which is equivalent to the essentially two-domain in-plane orientation of the initial graphene. Published under an exclusive license by AIP Publishing. https://doi.
... Graphene was grown on both Cu substrates in the same batch using a low-pressure CVD method. Details of the CVD process can be found elsewhere [14]. Oxidizing, alternatively used as annealing in the following text, of graphene-Cu samples was conducted by two means. ...
... Here, the polycrystalline nature of the former graphene is apparent since it is grown on polycrystalline Cu foils [15]. On the other hand, the single-crystalline nature of the latter graphene was previously confirmed using a reciprocal space mapping method based on reflection highenergy electron diffraction [14]. Figure 1(b) shows the Raman spectra of these two graphene-Cu samples. ...
... The randomly-aligned grain boundaries of Cu foils can be clearly seen in figure 1(c). In contrast, the line features in figure 1(d) represent Σ3 twin boundaries of Cu(1 1 1) films, which was previously studied using electron backscatter diffraction [14]. Commonly seen in Cu(1 1 1) films, the Σ3 twin boundaries rotate Cu domains by 60° in-plane. ...
Article
Full-text available
Graphene is known effective as a short-term solution to protecting metals from oxidation. Past studies have shown that more layers and fewer defects (e.g. grain boundaries) enhance the antioxidation ability of graphene. However, it has remained unanswered which of these two parameters, namely, number of graphene layers and crystallinity of graphene, is more important when it comes to protecting metals. Herein, we aim to study this subject by comparing the oxidation behaviors of Cu covered by two different types of graphene. One is multilayered but contains a high density of grain boundaries, while the other is free of grain boundaries but comes with only one layer. Through oxidizing experiments in air and water-rich vapor conditions, failure mechanisms of graphene and change of underlying Cu (and Cu oxides) are thoroughly investigated using a wide range of analytical tools, including scanning electron microscopy (SEM), atomic force microscopy (AFM), Raman spectroscopy, Auger electron spectroscopy (AES) and x-ray photoelectron spectroscopy (XPS). It is found that the single-crystalline, monolayer graphene is more effective than the counterpart in polycrystalline, multilayer format for protecting Cu against oxidation in both air and water-rich vapor conditions. Especially in air oxidizing case, the former graphene raises the temperature barrier by at least 100 °C as compared to the latter graphene. Our results therefore suggest that the crystallinity of graphene deserves more considerations when using graphene as the antioxidizing barrier.
... A low pressure chemical vapor deposition (CVD) process was used to prepare the single crystal, monolayer graphene for the study of Cu epitaxy. The process was described in details in a previous study [44]. Briefly, a Cu catalytic layer was first grown on either a sapphire (Al 2 O 3 ) or spinel (MgAl 2 O 4 ) substrate held at 250°C via DC sputtering. ...
... The graphene surface is also decorated with sparse flakes of bilayer/multilayer graphene several micrometers in diameter in some regions, appearing as the slightly darker speckles in the image. The surface morphology is similar to the monolayer graphene used in our previous studies [39,44], which was prepared with the same CVD process. The graphene Raman spectrum (figure 1(b)) indicates the monolayer nature of the film, with a 2D to G peak ratio >2. ...
... XRD characterization of the epitaxial Cu on graphene/spinel with 30°graphene-spinel relative in-plane rotation To further evaluate the epitaxial behavior of Cu through monolayer graphene on other crystalline substrates, the process was also conducted on spinel(111) (magnesium aluminate spinel, MgAl 2 O 4 ). Our previous report shows Cu epitaxially grows on spinel with good crystalline quality [44]. Similar to the graphene-sapphire case, the graphene was deliberately rotated 30°counter-clockwise in-plane from its pre-transferred orientation. ...
Article
Full-text available
In this work, we show that remote heteroepitaxy can be achieved when Cu thin film is grown on single crystal, monolayer graphene buffered sapphire(0001) substrate via a thermal evaporation process. X-ray diffraction and electron backscatter diffraction data show that the epitaxy process forms a prevailing Cu crystal domain, which is remotely registered in-plane to the sapphire crystal lattice below the monolayer graphene, with the (111) out-of-plane orientation. As a poor metal with zero density of states at its Fermi level, monolayer graphene cannot totally screen out the stronger charge transfer/metallic interactions between Cu and substrate atoms. The primary Cu domain thus has good crystal quality as manifested by a narrow crystal misorientation distribution. On the other hand, we show that, graphene interface imperfections, such as bilayers, wrinkles, contaminations, can effectively weakened the atomic interactions between Cu and sapphire. This resulted in a second Cu domain which directly grows on and follows the graphene hexagonal lattice symmetry and orientation. Because of the weak van der Waals interaction between Cu and graphene, this domain has inferior crystal quality. The results were further confirmed using graphene buffered spinel(111) substrate, which indicates that this remote epitaxial behavior is not unique to the Cu/sapphire system.
... According to our previous work, the graphene is epitaxially grown on Cu(111) with the following alignment: graphene [10]∥Cu [11̅ 0]. 29 Thus, the epitaxial alignment between this Sb island and graphene can be concluded as Sb [101̅ 0]∥graphene [10]. See Table 1. ...
... According to our previous work, sapphire is aligned with Cu and graphene as follows: graphene∥Cu(111)∥sapphire(0001) and graphene [10]∥Cu [11̅ 0]∥sapphire [101̅ 0]. 29 Given these two alignments, it can be concluded that one of the twinned domains in Sb film is aligned with graphene as Sb [101̅ 0]∥graphene [10]. See Table 1. ...
... Details regarding these procedures and characterization confirming the single crystallinity of graphene can be found elsewhere. 29 The transferred graphene on SiO 2 (50 nm)/Si was annealed in vacuum (50 mTorr) in a forming gas (95% Ar and 5% H 2 ) at 550°C for 1 h. After being annealed, the transferred graphene was immediately loaded into a vacuum chamber for Sb deposition. ...
Article
Antimony (Sb) nanostructures, including islands, sheets, and thin films, of high crystallinity were epitaxially grown on single-crystalline graphene through van der Waals interactions. Two types of graphene substrates grown by chemical vapor deposition (CVD) were used, the as-grown graphene on Cu(111)/c-sapphire and the transferred graphene on SiO2/Si. On the as-grown graphene, deposition of ultrathin Sb resulted in two growth modes and associated morphologies of Sb. One was Sb islands grown in Volmer-Weber (VW) mode and the other was Sb sheets grown in Frank-van der Merve (FM) mode. In contrast, only Sb islands grown in VW mode was found in a parallel growth experiment on the transferred graphene. The existence of Sb sheets on the as-grown graphene was attributed to the remote epitaxy between Sb and Cu underneath the graphene. In addition, Sb thin films were grown on both the as-grown and transferred graphene substrates. Both films indicated high quality and no significant difference can be found between these two films. This work unveiled two epitaxial alignments between Sb(0001) and graphene, namely, Sb [101 ̅0] || graphene [10] for Sb islands and Sb [2(11) ̅0] || graphene [10] for Sb sheets. For Sb thin films on graphene, the epitaxial alignment followed that of Sb islands, implying that Sb thin films originated from the continued growth of Sb islands. Last, Raman spectroscopy was used to probe the state of graphene under ultrathin Sb. No strain, doping, or disorder was found in the graphene post growth of Sb. The knowledge of the interface formation between ultrathin Sb and graphene provides a valuable foundation for future research on van der Waals heterostructures between antimonene and graphene.
... First, single-crystalline graphene was grown on Cu(111)/ spinel(111) substrates using a chemical vapor deposition method. 24 Second, epitaxial ZnS(111) films were grown on graphene/Cu(111)/spinel(111) substrates using a thermal evaporation method. The substrate temperature, deposition rate, and film thickness were 150°C, 3 Å/s, and 200 nm, respectively. ...
... According to our previous work, the Cu(111) film is in parallel epitaxy with spinel(111), that is, Cu(111)||spinel(111) and Cu [1̅ 10]||spinel [1̅ 10]. 24 Furthermore, graphene is known to be in parallel epitaxy with the Cu(111) film. 26 Given these relations, the reciprocal space lattice overlay of ZnS(111) on graphene can be constructed as shown in Figure 2c. ...
... 27,28 Note that the relatively small peak profile in this spectrum is caused by the high spectral background resulting from the surface plasmon resonance of Cu and does not imply the low quality of graphene. 24,29,30 In the bottom portion, the first-order longitudinal optical phonon of zinc-blende ZnS is seen around 350 cm −1 . The spectrum in Figure 3c is representative of 10 random acquisitions. ...
Article
Graphene has been broadcasted as a promising choice of electrode and substrate for flexible electronics. To be truly useful in this regime, graphene has to prove its capability in ordering the growth of overlayers at atomic scale, commonly known as epitaxy. Meanwhile, graphene as a diffusion barrier against atoms and ions has been shown in some metal-graphene-dielectric configurations for integrated circuits. Guided by these two points, this work explores a new direction of using graphene as a bifunctional material in an electrochemical metallization memory, where graphene is shown to (i) order the growth of low-ionicity semiconductor ZnS single crystalline film and (ii) regulate the ion migration in the resistive switching device made of Cu/ZnS/graphene/Cu structures. The ZnS film is confirmed to be van der Waals epitaxially grown on single crystal graphene with X-ray structural analysis and Raman spectroscopy. Charge transport studies with controlled kinetic parameters reveal a superior ion regulating characteristic of graphene in this ZnS-based resistive switching device. The demonstration of first graphene-directed epitaxial wide bandgap semiconductor resistive switching suggests a possible and promising route towards flexible memristors.
... 5,[19][20][21] To obtain single-crystalline graphene at wafer scale, several methods have been reported, including the thermal decomposition of a SiC wafer, CVD growth on a Ge wafer, a Cu(111)/sapphire wafer, a Cu(111)/ spinel wafer, and a monocrystallized Cu foil. 18,[22][23][24] More desirable methods in this regard are still needed to reduce the cost and processing complexity. Second, some epitaxy growths of semiconductor films on graphene are limited to pre-transferred graphene, which are still bound to the SiC or Cu substrates. ...
... The single-crystalline graphene is prepared on a single-crystalline Cu(111) film and then wet-transferred to the glass wafer, followed by annealing in forming gas to clean the graphene surface. 23 Using a set of crystallographic characterization tools with X-ray diffraction (XRD) and electron backscatter diffraction (EBSD), the ZnTe thin film thermally evaporated on the freshly annealed graphene is confirmed to be in an epitaxy form, although it consists of multiple twinning domains. The epitaxial relationship between the ZnTe film and graphene is obtained by knowing the graphene orientation (i) after transferring it from the Cu(111)/sapphire substrate and (ii) prior to ZnTe deposition. ...
... The details regarding these steps can be found elsewhere. 23 The transferred graphene was annealed under vacuum (50 mTorr) in forming gas (95% Ar and 5% H 2 ) at 550 C for 1 h. The annealed graphene was immediately loaded into an evaporator chamber with a base pressure at the low end of 10 À7 Torr. ...
Article
Full-text available
Graphene template has long been promoted as a promising host to support van der Waals flexible electronics. However, van der Waals epitaxial growth of conventional semiconductors in planar thin film form on transferred graphene sheets is challenging because the nucleation rate of film species on graphene is significantly low due to the passive surface of graphene. In this work, we demonstrate the epitaxy of zinc-blende ZnTe thin film on single-crystalline graphene supported by an amorphous glass substrate. Given the amorphous nature and no obvious remote epitaxy effect of the glass substrate, this study clearly proves the van der Waals epitaxy of a 3D semiconductor thin film on graphene. X-ray pole figure analysis reveals the existence of two ZnTe epitaxial orientational domains on graphene, a strong X-ray intensity observed from the ZnTe [1¯1¯2] ǁ graphene [10] orientation domain, and a weaker intensity from the ZnTe [1¯1¯2] ǁ graphene [11] orientation domain. Furthermore, this study systematically investigates the optoelectronic properties of this epitaxial ZnTe film on graphene using temperature-dependent Raman spectroscopy, steady-state and time-resolved photoluminescence spectroscopy, and fabrication and characterization of a ZnTe-graphene photodetector. The research suggests an effective approach towards graphene-templated flexible electronics.
... Monolayer single crystalline graphene was grown using a low-pressure chemical vapor deposition (LPCVD) process at 1020 °C on epitaxial Cu(111) film. The epitaxial Cu(111) was sputter deposited on sapphire(0001) single crystal substrate [45]. After the graphene growth, a standard polymethyl methacrylate (PMMA) wet transfer process was used to transfer graphene to 510 nm thick amorphous SiO 2 thermally grown on 675 μm thick Si(001) single crystal. ...
... The 3D reciprocal space structure or a 3D map parallel and perpendicular to the sample surface over 360° azimuthal angles can be constructed from 200 RHEED patterns collected at 200 azimuthal angles. ARHEED methodology has been used to study surface structures of graphene [23,45], Transition metal dichalcogenide (TMDC) monolayers on sapphire [46][47][48], 2D metallic In x Ga 1−x alloys on SiC [49], 3D CdTe thin films on graphene [17] and other van der Waals substrate such as mica [50], and Sb 2 Se 3 thin film on mica [51]. ...
Article
Full-text available
Ru has been considered as an alternative metallic candidate for future local interconnects. The 2D reciprocal space map constructed from the azimuthal reflection high-energy electron diffraction patterns reveals that ultrathin Ru(0001) is epitaxially grown on transferred graphene on amorphous SiO2 through quasi-van der Waals interaction. The in-plane and out-of-plane lattice constants are measured from streaks’ separation and intensity modulations along streaks, respectively. Weak and broad rings indicate that a low density of nanoscale polycrystals exist on the surface. The intensities of 00 and non-00 diffraction spots vs. azimuthal angles in the 2D map show a few degrees out-of-plane and in-plane angular misorientations among grains, respectively. As the film thickness decreases these angular misorientations increase. Transmission electron microscopy carried out in this study also provides precise values of lattice constant and sub-grain sizes in the films. These findings show that ultrathin Ru film is epitaxial but not exactly single crystalline.Graphical abstract
... Single-crystalline, monolayer graphene was grown on epitaxial Cu(111) film sputtered on sapphire(0001) single-crystal substrate using a low-pressure chemical vapor deposition (LPCVD) process at 1020°C. 35 After the growth, a standard poly(methyl methacrylate) (PMMA) wet transfer process was used to transfer graphene to amorphous SiO 2 / Si(100) substrate. An ∼500 nm PMMA film was coated on the graphene surface for protection. ...
... We believe the wrinkles are originated from the underneath graphene film, because these types of structures resemble graphene wrinkles/ripples, which were characterized in detail in our previous work for single crystalline graphene preparation on Cu(111) substrate. 35 These wrinkles/ripples on graphene result from either the intrinsic thermal expansion coefficient difference between graphene and Cu during graphene growth or the wet transfer process. The film roughness vs. film thickness is plotted in Figure 4d as red solid squares. ...
... In this work we report findings from the characterizations of MOCVD grown continuous epitaxial ML TMDCs, including MoS 2 and WS 2 , on c-sapphire substrates. We used a technique, ARHEED [20][21][22][23], which is sensitive at detecting the long-range order in an ML. The ARHEED provides us an access to the 3D reciprocal space structure, which represents the macroscopic (~1 cm) statistical ensemble average of the structure and perfection of the MLs. ...
... The measured HWHMs range from~0.4 Å −1 to~0.6 Å −1 , which is much broader than the instrument response function width (h inst ) of~0.1 Å −1 determined from the highquality epitaxial graphene grown on copper substrate [21] (see figures S4(a) and (b)). Similar broadenings of the (00) spot and non-(00) spots are observed at other |k ⊥ | values but are not presented here. ...
Article
Full-text available
Molybdenum disulfide (MoS 2 ) on the c -plane sapphire has been a very popular system to study in the two-dimensional (2D) materials community. Bottom-up synthesis of monolayer (ML) MoS 2 with excellent electrical properties has been achieved on sapphire by various methods, making it a very promising candidate to be used in the next generation nano-electronic devices. However, large-area ML MoS 2 with comparable quality as the relatively small size exfoliated ML remains quite a challenge. To overcome this bottle neck, a comprehensive understanding of the structure of the as-grown ML material is an essential first step. Here, we report a detailed structural characterization of wafer-scale continuous epitaxial ML MoS 2 grown by metalorganic chemical vapor deposition on sapphire using an azimuthal reflection high-energy electron diffraction (ARHEED) technique. With ARHEED we can map not only 2D but also 3D reciprocal space structure of the ML statistically. From the oscillation in the ARHEED intensity profile along the vertical direction of the ML, we derived a real space distance of ~3 Å at the interface of ML and sapphire. Quantitative diffraction spot broadening analyses of the 3D reciprocal space map reveals low density defects and a small angular misalignment of orientation domains in ML MoS 2 . Based on atomic force microscopy height distribution analysis, cross-section scanning transmission electron microscopy, and density functional theory calculations, we suggest that there exists a passivation layer between MoS 2 ML and sapphire substrate. This ARHEED methodology also has been applied to ML WS 2 and is expected to be applicable to other ML transition metal dichalcogenides on arbitrary crystalline or non-crystalline substrates.
... The graphene was transferred to SiO 2 /Si(100) substrates using a standard wet transfer process [17]. Details regarding graphene growth and transfer can be found in the supplementary data and elsewhere [18]. Fig. 1(a) shows an optical image of the graphene transferred onto a SiO 2 / Si(100) substrate. ...
... We first consider the one post transfer to SiO 2 / Si(100), prior to CdTe deposition. To this end, a 2D reciprocal space mapping (RSM) method was previously developed based on reflection high-energy electron diffraction (RHEED) and is referred to herein to illustrate the rotational misalignment in graphene [18]. Fig. 4(a) shows a selected RHEED pattern of monolayer graphene as-grown on Cu(111). ...
Article
Traditionally, a high-quality CdTe film can only be grown on a single crystal substrate with a small lattice mismatch. Herein, we report the epitaxy of CdTe films on monolayer single crystal graphene buffered amorphous SiO2/Si(100) substrates, despite a 86% lattice mismatch between CdTe(111) and graphene. X-ray pole figure, electron backscatter diffraction mapping and transmission electron microscopy all confirm that the epitaxial CdTe films are composed of two domains: the primary and the Σ3 twin. The crystal quality of films is shown to improve as the post-deposition annealing temperature increases. However, the rotational misalignment in CdTe remains large even after annealing. Through density functional theory calculations on the charge transfer distribution at the interface of CdTe and graphene, it is found that the interface is dominated by the weak van der Waals interaction, which explains the large spread of in-plane orientation in CdTe films. Furthermore, the rotational misalignment in graphene itself is also confirmed to produce the large in-plane orientation spread in CdTe films. Although imperfect in epitaxy quality, this work demonstrates that monolayer single crystal graphene can buffer amorphous substrates for growing epitaxial films, and hence hints an opportunity for developing advanced thin film devices using graphene as a template.
... Single crystal and monolayer graphene was grown on sputtered and annealed Cu(111)/sapphire(0001) substrates. 24 Then, the graphene was transferred to a SiO 2 (50 nm)/Si(100) substrate using the standard poly(methyl methacrylate)mediated method. 25 The single crystallinity of graphene was previously determined (on similarly synthesized graphene samples but not this particular one) using a reciprocal space mapping method. ...
... 25 The single crystallinity of graphene was previously determined (on similarly synthesized graphene samples but not this particular one) using a reciprocal space mapping method. 24 Figure S1 (supplementary material) shows a representative Raman spectrum of this particular piece of graphene transferred to SiO 2 /Si(001). The 2D to G peak intensity ratio was estimated to be 3.3, indicative of monolayer nature of the graphene. ...
Article
ZnTe has a favorable band alignment with CdTe and is an ideal buffer between CdTe and metal contacts used in photovoltaics. Using metalorganic chemical vapor deposition, we report epitaxial growth of ZnTe thin films on 2D substrates, namely, single crystal graphene on amorphous SiO2/Si and freshly cleaved mica(001). Despite the large in-plane lattice mismatches between ZnTe and graphene (∼75%) and between ZnTe and mica(001) (∼17%), X-ray pole figure analyses show preferred epitaxial alignments with the out-of-plane orientation along [111] for ZnTe films on both substrates. For ZnTe on graphene, besides the primary in-plane orientation of [1 10] ZnTe / / [1 1 ] graphene, two secondary in-plane orientations rotating ±25.28° away from the primary domain are found. A geometrical superlattice area mismatch (GSAM) model is implemented to explain the existence of the primary and secondary domains in ZnTe on graphene. For ZnTe on mica(001), only one in-plane orientational domain is found experimentally with the epitaxial relationship of [1 10] ZnTe / / [100] mica (or [1 10] ZnTe / / [2 1 1 0] mica if four-index notation is used for mica). However, the prediction of domain orientation between ZnTe and mica(001) based on the GSAM model slightly deviates from that observed experimentally. Furthermore, it should be noted that multiple order twinning domains of the primary domain are observed in both ZnTe films. While coexisting with the primary domain, each of the twinning domains is still epitaxially aligned with respect to the substrate. The in-depth understanding of ZnTe's epitaxial behaviors on graphene and mica(001) provides a valuable guidance for future studies on epitaxy of 3D zinc-blende overlayers on 2D hexagonal substrates.
... Single crystal monolayer graphene was prepared on a single crystal Cu/sapphire substrate using a low pressure CVD (chemical vapor deposition) process [31]. A standard PMMA transfer process [32,33] was used to transfer graphene to various substrates, including Pyrex 7740 glass wafers and z-cut (0 0 0 1) quartz wafers (MTI Corp.). ...
... Earlier reports showed that Cu deposited on graphene at room temperature tends to be polycrystalline with the Cu (1 1 1), Cu (2 0 0), and Cu (2 2 0) peaks appearing in XRD spectra [6,8]. In this study, an elevated substrate temperature of 250 °C was used, which was the temperature used to achieve epitaxy of Cu (1 1 1) film on sapphire (0 0 0 1) substrates in the previous study [31]. To confirm the safety of thermal evaporation to graphene, ~5 nm Cu film was deposited on a graphene buffered glass substrate. ...
Article
Full-text available
Quasi van der Waals epitaxial growth of face-centered cubic Cu (∼100 nm) thin films on single-crystal monolayer graphene is demonstrated using thermal evaporation at an elevated substrate temperature of 250 °C. The single-crystal graphene was transferred to amorphous (glass) and crystalline (quartz) SiO2 substrates for epitaxy study. Raman analysis showed that the thermal evaporation method had minimal damage to the graphene lattice during the Cu deposition. X-ray diffraction and electron backscatter diffraction analyses revealed that both Cu films are single-crystal with (1 1 1) out-of-plane orientation and in-plane Σ3 twin domains of 60° rotation. The crystallinity of the SiO2 substrates has a negligible effect on the Cu crystal orientation during the epitaxial growth, implying the strong screening effect of graphene. We also demonstrate the epitaxial growth of polycrystalline Cu on a commercial polycrystalline monolayer graphene consisting of two orientation domains offset 30° to each other. It confirms that the crystal orientation of the epitaxial Cu film follows that of graphene, i.e. the Cu film consists of two orientation domains offset 30° to each other when deposited on polycrystalline graphene. Finally, on the contrary to the report in the literature, we show that the direct current and radio frequency flip sputtering method causes significant damage to the graphene lattice during the Cu deposition process, and therefore neither is a suitable method for Cu epitaxial growth on graphene.
... The RHEED pattern from the sample surface was projected on a 6-inch phosphor screen mounted on a UHV flange port 23 ± 0.5 cm away from the sample. A digital camera positioned outside the HV chamber facing the phosphor screen captures a RHEED patterns every 1.8 • step increment during the sample rotation [40][41][42][43][44][45][46]. One collects 100 patterns covering 360 • to construct 3D reciprocal space structure. ...
... Azimuthal RHEED. The principle of azimuthal reflection high-energy electron diffraction (ARHEED) has been described elsewhere 29 and has been applied to graphene on SiO2, 29,30 monolayer MoS2 and monolayer WS2 on c-plane sapphire substrates. 31 The glancing incident angle of the high-energy electron beam was oriented at about 1° relative to the sample surface. ...
Preprint
Full-text available
Chemically stable quantum-confined 2D metals are of interest in next-generation nanoscale quantum devices. Bottom-up design and synthesis of such metals could enable the creation of materials with tailored, on-demand, electronic and optical properties for applications that utilize tunable plasmonic coupling, optical non-linearity, epsilon-near-zero behavior, or wavelength-specific light trapping. In this work, we demonstrate that the electronic, superconducting and optical properties of air-stable two-dimensional metals can be controllably tuned by the formation of alloys. Environmentally robust large-area two-dimensional InxGa1-x alloys are synthesized by Confinement Heteroepitaxy (CHet). Near-complete solid solubility is achieved with no evidence of phase segregation, and the composition is tunable over the full range of x by changing the relative elemental composition of the precursor. The optical and electronic properties directly correlate with alloy composition, wherein the dielectric function, band structure, superconductivity, and charge transfer from the metal to graphene are all controlled by the indium/gallium ratio in the 2D metal layer.
... The vertical interlayer spacing d 111 and parallel interlayer spacing d 220 of surface CdTe(1 1 1) film can be further examined quantitatively from the intensity scans perpendicular and parallel to the shadowing edge from the surface, respectively [22]. Note that the intensity of each peak in the following k ⊥ and k || plots were fitted in Origin software using a Gaussian peak function to obtain a peak position. ...
Article
Semiconductor thin films grown on weakly interacting substrates have recently attracted much attention due to the fact that one can peel/release the film from its substrate for flexible electronic and optoelectronic applications. In this work we report separable CdTe(1 1 1) epitaxial films grown on mica substrate via vapor transport deposition. The CdTe films were separated from the mica substrate using double-sided Cu tape, exposing the CdTe interface-surface. Azimuthal reflection high-energy electron diffraction (ARHEED) was used to characterize the fresh CdTe interface-surface and the original CdTe surface. Dramatic differences between these two surfaces were observed. (1) Diffraction streaks were observed from the interface-surface, indicating a smooth surface. Diffraction spots were observed from the surface, indicating a rough surface. (2) The rough CdTe surface has twin and secondary twin structures, which are difficult to be observed with conventional X-ray diffraction. (3) The full-width-at-half maximum (FWHM) analysis of the streaks and spots in RHEED patterns reveals that despite the smoothness of the interface-surface, there is a lower degree of lateral long-range order as compared with the rough surface. This etchant-free method to create smooth interface-surface and ARHEED characterization may be applicable to other films that are detachable from weakly interacting substrates.
... Here the central streak represents the (00) lattice of the reciprocal space of graphene, while additional side streaks come from (11) and (11) Bragg reflection. 20,21) The spread of the streaks is probably due to the electron scattering from the graphene wrinkles which are always exist in the real system. The next RHEED pattern [ Fig. 2(b)] demonstrates the heterogeneous nucleation of AlN and islands coalescence for the parts of the same wafer without multilayer graphene buffer which clearly indicates growth of polycrystalline GaN film. ...
Article
The possibility of using chemical vapor deposition (CVD) graphene as a 2D buffer layer for epitaxial growth of III-nitrides by plasma assisted-MBE on amorphous substrates (SiO 2 prepared by thermal oxidation of Si wafer) was investigated. The comparative study of graphene-coated parts of the wafers and the parts without graphene was carried out by scanning electron microscopy and X-ray diffractometry. It was shown that epitaxial GaN and AlN films with close to 2D surface morphology can be obtained by plasma assisted-MBE on amorphous SiO 2 substrates with a multilayer graphene buffer using the HT AlN nucleation layer.
... Recently, a new strategy for reflection high-energy electron diffraction called azimuthal RHEED, or ARHEED, has been developed to study the crystal structure through the construction of 2D reciprocal maps. 5,22,[32][33][34][35][36] It is obtained by measuring the RHEED intensity distribution as a function of azimuthal rotation angle (f) normal to the surface as shown in Fig. 7. This is in contrast to the conventional RHEED experiments where the substrate is stationary at one azimuthal angle. ...
Article
Many diffraction and imaging techniques have been developed and used to measure the strains in epitaxial films with different degrees of accuracy. In this tutorial, we will focus on measurements of strains in epitaxial films using electron diffraction techniques. These include reflection high-energy electron diffraction (RHEED), azimuthal reflection high-energy electron diffraction, low-energy electron diffraction (LEED), and high-resolution low-energy electron diffraction techniques. These diffraction techniques are surface sensitive and are suitable for in situ measurements of strains in ultrathin epitaxial films quantitatively. In particular, RHEED and LEED are simple to operate and readily available in many laboratories. We discuss the instrument limit of strain measurement in each technique. A nominal definition of the resolving power of an instrument to resolve a strain state is given as the percentage of intrinsic instrumental broadening in the reciprocal unit with respect to the first reciprocal Brillouin zone (2π/lattice constant) of a sample. It is shown that the resolving power of these diffraction techniques ranges from 2% to 0.2%. Experimental strategies without modifying the instrument and to achieve a strain detection capability beyond the definition of the nominal resolving power of these techniques are presented.
... The film is columnar and grainy. (3) The wrinkles formed (∼10 nm in height) on the initial graphene surface due to graphene's relaxation on SiO 2 /Si substrates [35]. The lateral correlation lengths of the surfaces in Fig. 2(g) and (h) are ∼167 nm and ∼286 nm, respectively. ...
Article
Conventional hetero-epitaxial films are typically grown on lattice and symmetry matched single crystal substrates. We demonstrated the epitaxial growth of orthorhombic SnS film (∼500 nm thick) on single crystal, monolayer graphene that was transferred on the amorphous SiO2/Si substrate. Using X-ray pole figure analysis we examined the structure, quality and epitaxy relationship of the SnS film grown on the single crystal graphene and compared it with the SnS film grown on commercial polycrystalline graphene. We showed that the SnS films grown on both single crystal and polycrystalline graphene have two sets of orientation domains. However, the crystallinity and grain size of the SnS film improve when grown on the single crystal graphene. Reflection high-energy electron diffraction measurements show that the near surface texture has more phases as compared with that of the entire film. The surface texture of a film will influence the growth and quality of film grown on top of it as well as the interface formed. Our result offers an alternative approach to grow a hetero-epitaxial film on an amorphous substrate through a single crystal graphene buffer layer. This strategy of growing high quality epitaxial thin film has potential applications in optoelectronics.
Article
Graphene was precipitated using crystallized Ni catalyst, which was crystallized using a MBE-grown Ni layer as a seed. In the thermal crystallization process, the additional deposition of amorphous Ni layer was effective to suppress the dewetting of the Ni catalyst. The resulted graphene was flat and free from folds, which are the large wrinkles folded on the substrate and the graphene islands were found smoothly stitched together. It is because the islands were precisely aligned by the crystal information inherited from the Ni layer. The insitu observation of the precipitation was also performed using high intensity X-ray beam from a synchrotron apparatus. Precipitation process was clearly revealed, and the crystallized Ni catalyst was found effectively reduced the formation of defective graphene, which was conventionally produced by the carbons diffused though grain boundaries at low temperature.
Article
Full-text available
Memristor crossbar with programmable conductance could overcome the energy consumption and speed limitations of neural networks when executing core computing tasks in image processing. However, the implementation of crossbar array (CBA) based on ultrathin 2D materials is hindered by challenges associated with large‐scale material synthesis and device integration. Here, a memristor CBA is demonstrated using wafer‐scale (2‐inch) polycrystalline hafnium diselenide (HfSe2) grown by molecular beam epitaxy, and a metal‐assisted van der Waals transfer technique. The memristor exhibits small switching voltage (0.6 V), low switching energy (0.82 pJ), and simultaneously achieves emulation of synaptic weight plasticity. Furthermore, the CBA enables artificial neural network with a high recognition accuracy of 93.34%. Hardware multiply‐and‐accumulate (MAC) operation with a narrow error distribution of 0.29% is also demonstrated, and a high power efficiency of greater than 8‐trillion operations per second per Watt is achieved. Based on the MAC results, hardware convolution image processing can be performed using programmable kernels (i.e., soft, horizontal, and vertical edge enhancement), which constitutes a vital function for neural network hardware. A 2D hafnium diselenide (HfSe2) memristor crossbar array (CBA) is demonstrated via wafer‐scale molecular beam epitaxy growth and metal‐assisted van der Waals transfer techniques. The CBA enables artificial neural network with high recognition accuracy of 93.34%, and achieves hardware convolution image processing using energy‐efficient multiply‐and accumulate operations.
Article
Full-text available
Chemically stable quantum-confined 2D metals are of interest in next-generation nanoscale quantum devices. Bottom-up design and synthesis of such metals could enable the creation of materials with tailored, on-demand, electronic and optical properties for applications that utilize tunable plasmonic coupling, optical nonlinearity, epsilon-near-zero behavior, or wavelength-specific light trapping. In this work, it is demonstrated that the electronic, superconducting, and optical properties of air-stable 2D metals can be controllably tuned by the formation of alloys. Environmentally robust large-area 2D-InxGa1−x alloys are synthesized byConfinement Heteroepitaxy (CHet). Near-complete solid solubility is achieved with no evidence of phase segregation, and the composition is tunable over the full range of x by changing the relative elemental composition of the precursor. The optical and electronic properties directly correlate with alloy composition, wherein the dielectric function, band structure, superconductivity, and charge transfer from the metal to graphene are all controlled by the indium/gallium ratio in the 2D metal layer.
Article
Full-text available
Sb2Se3 thin film is an emerging photon absorber used in solar cells. We report the study of surface and interface structures of Sb2Se3(120) film grown on mica substrate by a high-rate vapor transport method. The interface epitaxial relationship between Sb2Se3 and mica examined by the cross- sectional TEM images and diffraction patterns along the [001] and [10]2¯ directions of Sb2Se3 reveal a rectangular structure with lengths of 4.03 ± 0.1 Å and 5.29 ± 0.1 Å, consistent with the [120] out-of-plane direction of Sb2Se3 bulk lattice parameters. In contrast, the two-dimensional reciprocal space map (2D map) constructed from azimuthal reflection high-energy electron diffraction (ARHEED) patterns from the surface exhibits a decorated hexagonal structure. This surface structure emerges from six epitaxial orientation domains/rods and each domain has a rectangular unit mesh of 3.94 ± 0.09 Å and 26.95 ± 1.16 Å along the [001] and [10]2¯ directions. The 26.95 Å is consistent with the unit mesh of the outermost layer of the Sb2Se3(120) domains/rods. Our 2D map reveals surface information that are not easily observed by other diffraction techniques.
Article
Monolayer WSe2, a 2D transition metal dichalcogenide (TMDCs), has been demonstrated as a good candidate for potential applications in optoelectronics. It is imperative to know the crystalline quality of WSe2 over the wafer scale prior to its applications. Azimuthal reflection high-energy electron diffraction (ARHEED) is demonstrated to be a powerful technique to measure the symmetry, lattice constants, and in-plane orientation domain dispersion in wafer-scale, continuous monolayer WSe2 epitaxially grown by metal organic chemical vapor deposition on c-plane sapphire substrate. The constructed 2D reciprocal map from ARHEED reveals few degrees’ dispersion in WSe2 orientation domains due to the step meandering/bunching/mosaic of sapphire substrate. Minor 30° orientation domains are also observed. The methodology can be applied to study other TMDCs epitaxial monolayers, graphene, and confined atomically thin hetero-epitaxial metals.
Article
Full-text available
Recently, monolayer or few‐layered phosphorene nanosheets and other phosphorene‐based materials have gained huge attention due to their unique semiconducting and optical properties. But there is a huge unexplored area of research where phosphorene‐based catalysts could find new applications. Among these are the urgency of making new functionalized phosphorene materials and studying their catalytic (including photo and electrocatalytic) activities in various types of reactions. Phosphorene has a wide range of emerging applications in the field of energy conversion and storage, photovoltaic devices, and biomedical applications. However, the application of 2D phosphorene‐based catalyst in the hydrogen evolution reaction (HER), oxygen evolution reaction (OER), reduction of CO2 into green fuels, and the nitrogen electroreduction reaction (N2RR) have not been explored further. Herein, state‐of‐the‐art synthetic strategies of 2D phosphorene produced from allotropes of black phosphorus with their significant role as nanocatalysts in the HER, OER, hydrogen storage, electrochemical reduction of CO2 into valuable green fuels, and the N2RR are focused on. This review provides a wide range of knowledge on the current scenario to the researcher, scientist, industrialist, and professional working in the field of material research for energy applications. Black phosphorous and phosphorene‐based materials are an emerging field of research due to unique semi‐conducting and optical properties. The review focuses on state‐of‐the‐art synthetic strategies of 2D phosphorene‐based catalysts in the hydrogen evolution reaction (HER), oxygen evolution reaction (OER), hydrogen storage, electrochemical reduction of CO2 into valuable green fuels, and nitrogen electroreduction reaction (N2RR).
Article
Electromagnetic interference (EMI) shielding at Terahertz (THz) frequency range attracts increasing attention due to the rapid development of THz science and technologies. EMI shielding materials with small thickness, high shielding effectiveness (SE), good flexibility and stability are highly desirable. Herein, an ultrathin flexible copper/graphene (Cu/Gr) nanolayered composite are prepared, which can reach the average EMI SE of 60.95 dB at 0.1-1.0 THz with a thickness of only 160 nm, indicating that more than 99.9999% of the THz wave power can be shielded. Furthermore, the Cu/Gr nanolayered composite also exhibits excellent oxidation resistance, with a 93.09% maintenance rate for EMI SE value after heating at 120 °C for 3 h in air, far higher than that of the bare Cu film (62.15%). Besides, the Cu/Gr nanolayered composite exhibits good mechanical flexibility and flexural fatigue resistance. The EMI SE value of the Cu/Gr nanolayered composite shows a maintenance rate of 98.87% even after 1500 times bending cycles, obviously higher than that of multilayer Cu film (93.07%). These results demonstrate that the ultrathin flexible Cu/Gr nanolayered composites with excellent shielding performance and good stability have a broad application prospect in THz shielding for wearable devices and next generation mobile communication equipment.
Article
The scalable growth of wafer-sized single-crystal graphene in an energy-efficient manner and compatible with wafer process is critical for the killer applications of graphene in high-performance electronics and optoelectronics. Here, ultrafast epitaxial growth of single-crystal graphene wafers is realized on single-crystal Cu 90 Ni 10 (1 1 1) thin films fabricated by a tailored two-step magnetron sputtering and recrystallization process. The minor nickel (Ni) content greatly enhances the catalytic activity of Cu, rendering the growth of a 4 in. single-crystal monolayer graphene wafer in 10 min on Cu 90 Ni 10 (1 1 1), 50 folds faster than graphene growth on Cu(1 1 1). Through the carbon isotope labeling experiments, graphene growth on Cu 90 Ni 10 (1 1 1) is proved to be exclusively surface-reaction dominated, which is ascribed to the Cu surface enrichment in the CuNi alloy, as indicated by element in-depth profile. One of the best benefits of our protocol is the compatibility with wafer process and excellent scalability. A pilot-scale chemical vapor deposition (CVD) system is designed and built for the mass production of single-crystal graphene wafers, with productivity of 25 pieces in one process cycle. Furthermore, we demonstrate the application of single-crystal graphene in electrically controlled liquid-crystal microlens arrays (LCMLA), which exhibit highly tunable focal lengths near 2 mm under small driving voltages. By integration of the graphene based LCMLA and a CMOS sensor, a prototype camera is proposed that is available for simultaneous light-field and light intensity imaging. The single-crystal graphene wafers could hold great promising for high-performance electronics and optoelectronics that are compatible with wafer process.
Article
Full-text available
The successful isolation of phosphorene (atomic layer thick black phosphorus) in 2014 has currently aroused the interest of 2D material researchers. In this review, first, the fundamentals of phosphorus allotropes, phosphorene, and black phosphorus, are briefly introduced, along with their structures, properties, and synthesis methods. Second, the readers are presented with an overview of their energy applications. Particularly in electrochemical energy storage, the large interlayer spacing (0.53 nm) in phosphorene allows the intercalation/deintercalation of larger ions as compared to its graphene counterpart. Therefore, phosphorene may possess greater potential for high electrochemical performance. In addition, the status of lithium ion batteries as well as secondary sodium ion batteries is reviewed. Next, each application for energy generation, conversion, and storage is described in detail with milestones as well as the challenges. These emerging applications include supercapacitors, photovoltaic devices, water splitting, photocatalytic hydrogenation, oxygen evolution, and thermoelectric generators. Finally the fast-growing dynamic field of phosphorene research is summarized and perspectives on future possibilities are presented calling on the efforts of chemists, physicists, and material scientists
Article
Full-text available
To synthesize graphene by chemical vapor deposition (CVD) both in large area and with uniform layer number directly over Si/SiOx has proven challenging. The use of catalytically active metal substrates, in particular Cu, has shown far greater success and therefore is popular. That said, for electronics applications it requires a transfer procedure, which tends to damage and contaminate the graphene. Thus, the direct fabrication of uniform graphene on Si/SiOx remains attractive, albeit challenging. Here we show a facile confinement CVD approach in which we simply “sandwich” two Si wafers with their oxide faces in contact to form uniform monolayer graphene. A thorough examination of the material reveals it comprises facetted grains despite initially nucleating as round islands. Upon clustering they facet to minimize their energy. This behavior leads to faceting in polygonal forms as the system aims to ideally form hexagons, the lowest energy form, much like the hexagonal cells in a beehive honeycomb which requires the minimum wax. This process also leads to a near minimal total grain boundary length per unit area. This fact, along with the high quality of the resultant graphene is reflected in its electrical performance which is highly comparable with graphene formed over other substrates, including Cu. In addition, the graphene growth is self-terminating. Our CVD approach is easily scalable and will make graphene formation directly on Si wafers competitive against that from metal substrates which suffer from transfer. Moreover, this CVD route should be applicable for the direct synthesis of other 2D materials and their van der Waals hetero structures.
Article
Full-text available
Knowledge on the symmetry and perfection of a 2D material deposited or transferred to a surface is very important and valuable. We demonstrate a method to map the reciprocal space structure of 2D materials using reflection high energy diffraction (RHEED). RHEED from a 2D material gives rise to 'streaks' patterns. It is shown that from these streaks patterns at different azimuthal rotation angles that the reciprocal space intensity distribution can be constructed as a function of momentum transfer parallel to the surface. To illustrate the principle, we experimentally constructed the reciprocal space structure of a commercial graphene/SiO 2 /Si sample in which the graphene layer was transferred to the SiO 2 /Si substrate after it was deposited on a Cu foil by chemical vapor deposition. The result reveals a 12-fold symmetry of the graphene layer which is a result of two dominant orientation domains with 30° rotation relative to each other. We show that the graphene can serve as a template to grow other materials such as a SnS film that follows the symmetry of graphene.
Article
Full-text available
Wafer-sized single-crystalline Cu (100) surface can be readily achieved on stacked polycrystalline Cu foils via simple oxygen chemisorption-induced reconstruction, enabling fast growth of large-scale millimeter-sized single-crystalline graphene arrays under molecular flow. The maximum growth rate can reach 300 μm min⁻¹, several orders of magnitude higher than previously reported values for millimeter-sized single-crystalline graphene growth on Cu foils.
Article
Full-text available
Distinctive from their 1D and 0D counterparts, 2D nanomaterials (2DNs) exhibit surface corrugations (wrinkles and ripples) and crumples. Thermal vibrations, edge instabilities, thermodynamically unstable (interatomic) interactions, strain in 2D crystals, thermal contraction, dislocations, solvent trapping, pre-strained substrate-relaxation, surface anchorage and high solvent surface tension during transfer cause wrinkles or ripples to form on graphene. These corrugations on graphene can modify its electronic structure, create polarized carrier puddles, induce pseudomagnetic field in bilayers and alter surface properties. This review outlines the different mechanisms of wrinkle, ripple and crumple formation, and the interplay between wrinkles’ and ripples’ attributes (wavelength/width, amplitude/height, length/size, and bending radius) and graphene's electronic properties and other mechanical, optical, surface, and chemical properties. Also included are brief discussions on corrugation-induced reversible wettability and transmittance in graphene, modulation of its chemical potential, enhanced energy storage and strain sensing via relaxation of corrugations. Finally, the review summarizes the future areas of research for 2D corrugations and crumples.
Article
Full-text available
In this work we present a simple pathway to obtain large single-crystal graphene on copper (Cu) foils with high growth rates using a commercially available cold-wall chemical vapour deposition (CVD) reactor. We show that graphene nucleation density is drastically reduced and crystal growth is accelerated when: i) using ex-situ oxidised foils; ii) performing annealing in an inert atmosphere prior to growth; iii) enclosing the foils to lower the precursor impingement flux during growth. Growth rates as high as 14.7 and 17.5 micrometers per minute are obtained on flat and folded foils, respectively. Thus, single-crystal grains with lateral size of about one millimetre can be obtained in just one hour. The samples are characterised by optical microscopy, scanning electron microscopy (SEM), X-ray photoelectron spectroscopy (XPS), Raman spectroscopy as well as selected area electron diffraction (SAED) and low-energy electron diffraction (LEED), which confirm the high quality and homogeneity of the films. The development of a process for the quick production of large grain graphene in a commonly used commercial CVD reactor is a significant step towards an increased accessibility to millimetre-sized graphene crystals.
Article
Full-text available
Films of (111)-textured Cu, Ni, and Cu{sub x}Ni{sub y} were evaluated as substrates for chemical vapor deposition of graphene. A metal thickness of 400 nm to 700 nm was sputtered onto a substrate of {alpha}-Al{sub 2}O{sub 3}(0001) at temperatures of 250 Degree-Sign C to 650 Degree-Sign C. The films were then annealed at 1000 Degree-Sign C in a tube furnace. X-ray and electron backscatter diffraction measurements showed all films have (111) texture but have grains with in-plane orientations differing by 60 Degree-Sign . The in-plane epitaxial relationship for all films was [110]{sub metal}||[1010]{sub Al{sub 2O{sub 3}}}. Reactive sputtering of Al in O{sub 2} before metal deposition resulted in a single in-plane orientation over 97% of the Ni film but had no significant effect on the Cu grain structure. Transmission electron microscopy showed a clean Ni/Al{sub 2}O{sub 3} interface, confirmed the epitaxial relationship, and showed that formation of the 60 Degree-Sign twin grains was associated with features on the Al{sub 2}O{sub 3} surface. Increasing total pressure and Cu vapor pressure during annealing decreased the roughness of Cu and Cu{sub x}Ni{sub y} films. Graphene grown on the Ni(111) films was more uniform than that grown on polycrystalline Ni/SiO{sub 2} films, but still showed thickness variations on a much smaller length scale than the distance between grains.
Article
Full-text available
In contrast to the commonly employed high temperature chemical vapor deposition growth that leads to multilayer graphene formation by carbon segregation from the bulk, we demonstrate that below 600 degrees C graphene can be grown in a self-limiting monolayer growth process. Optimum growth is achieved at similar to 550 degrees C. Above this temperature, carbon diffusion into the bulk is limiting the surface growth rate, while at temperatures below similar to 500 degrees C a competing surface carbide phase impedes graphene formation. (C) 2012 American Institute of Physics. [doi: 10.1063/1.3675481]
Article
Full-text available
Reflection high-energy electron diffraction is one of the most powerful tools used in surface structural analysis to monitor epitaxial growth. This book serves as an introduction to RHEED for beginners and details experimental and theoretical treatments for experts. First, the principles of electron diffraction are explained, with many examples of RHEED patterns described for beginners. The second part contains in-depth descriptions of RHEED theory. Finally, applications of RHEED are explained with many examples. www.cambridge.org/jp/academic/subjects/engineering/materials-science/reflection-high-energy-electron-diffraction?format=PB#4iMLgxpAvffJgEPX.97
Article
Full-text available
The growth of graphene by catalytic decomposition of ethylene on Cu(111) in an ultra-high vacuum system was investigated with low energy electron diffraction, low energy electron microscopy, and atomic force microscopy. Attempts to form a graphene overlayer using ethylene at pressures as high as 10 mTorr and substrate temperatures as high as 900 $^\circ$C resulted in almost no graphene growth. By using an argon overpressure, the growth of epitaxial graphene on Cu(111) was achieved. The suppression of graphene growth without the use of an argon overpressure is attributed to Cu sublimation at elevated temperatures. During the initial stages of growth, a random distribution of rounded graphene islands is observed. The predominant rotational orientation of the islands is within $\pm1^\circ$ of the Cu(111) substrate lattice.
Article
Full-text available
The growth of large-domain single crystalline graphene with the controllable number of layers is of central importance for large-scale integration of graphene devices. Here we report a new pathway to greatly reduce the graphene nucleation density from ~10(6) to 4 nuclei cm(-2), enabling the growth of giant single crystals of monolayer graphene with a lateral size up to 5 mm and Bernal-stacked bilayer graphene with the lateral size up to 300 μm, both the largest reported to date. The formation of the giant graphene single crystals eliminates the grain boundary scattering to ensure excellent device-to-device uniformity and remarkable electronic properties with the expected quantum Hall effect and the highest carrier mobility up to 16,000 cm(2) V(-1) s(-1). The availability of the ultra large graphene single crystals can allow for high-yield fabrication of integrated graphene devices, paving a pathway to scalable electronic and photonic devices based on graphene materials.
Article
Full-text available
Single crystal metal films on insulating substrates are attractive for microelectronics and other applications, but they are difficult to achieve on macroscopic length scales. The conventional approach to obtaining such films is epitaxial growth at high temperature using slow deposition in ultrahigh vacuum conditions. Here we describe a different approach: sputter deposition at modest temperatures followed by annealing to induce secondary grain growth. We show that polycrystalline as-deposited Cu on \alpha-Al2O3(0001) can be transformed into Cu(111) with centimeter-sized grains. Employing optical microscopy, x-ray diffraction, and electron backscatter diffraction to characterize the films before and after annealing, we find a particular as-deposited grain structure that promotes the growth of giant grains upon annealing. To demonstrate one potential application of such films, we grow graphene by chemical vapor deposition on wafers of annealed Cu and obtain epitaxial graphene grains of 0.2 mm diameter.
Article
Full-text available
Azimuthal reflection high-energy electron diffraction (ARHEED) and in situ grazing incidence synchrotron x-ray diffraction techniques are employed to investigate the growth, epitaxial orientation, and interfacial structure of MnAs layers grown on GaAs(001) by molecular beam epitaxy (MBE). We demonstrate the power and reliability of ARHEED scans as a routine tool in characterizing the formation of epitaxial films. The ARHEED scans clearly reveal the formation of the rectangular MnAs unit cell during growth on GaAs(001) for a MnAs layer thickness of 2.1 6 0.2 monolayers with a tensile strain along the MnAs ½11 20Š direction. A periodic coincidence site lattice, which is known to form along the MnAs [0001] direction to release the strain due to the huge lattice mismatch ($30%) also produces periodic satellites of the diffraction spots in the ARHEED scan. The formation of different epitaxial orientations of MnAs during MBE growth can be directly observed using ARHEED scans. ARHEED is demonstrated to have a resolution similar to synchrotron x-ray diffraction with a double crystal monochromator, yielding full width at half maximum values of reflections as small as 0.005 reciprocal lattice units.
Article
Full-text available
The discovery of uniform deposition of high-quality single layered graphene on copper has generated significant interest. That interest has been translated into rapid progress in terms of large area deposition of thin films via transfer onto plastic and glass substrates. The opto-electronic properties of the graphene thin films reveal that they are of very high quality with transmittance and conductance values of >90% and 30U/sq, both are comparable to the current state-of-the-art indium tin oxide transparent conductor. In this Feature Article, we provide a detailed and up to date description of the literature on the subject as well as highlighting challenges that must be overcome for the utilization of graphene deposited on copper substrates by chemical vapour deposition.
Article
Full-text available
Updating the Triode with Graphene In early electronics, the triode—a vacuum device that combined a diode and an electrical grid—was used to control and amplify signals, but was replaced in most applications by solid-state silicon electronics. One characteristic of silicon-metal interfaces is that the Schottky barrier created—which acts as a diode—does not change with the work function of the metal—the Fermi level is pinned by the presence of surface states. Yang et al. (p. 1140 , published online 17 May) now show that for a graphene-silicon interface, Fermi-level pinning can be overcome and a triode-type device with a variable barrier, a “barristor,” can be made and used to create devices such as inverters.
Article
Full-text available
We use low-energy electron microscopy to investigate how graphene grows on Cu(111). Graphene islands first nucleate at substrate defects such as step bunches and impurities. A considerable fraction of these islands can be rotationally misaligned with the substrate, generating grain boundaries upon interisland impingement. New rotational boundaries are also generated as graphene grows across substrate step bunches. Thus, rougher substrates lead to higher degrees of mosaicity than do flatter substrates. Increasing the growth temperature improves crystallographic alignment. We demonstrate that graphene growth on Cu(111) is surface diffusion limited by comparing simulations of the time evolution of island shapes with experiments. Islands are dendritic with distinct lobes, but unlike the polycrystalline, four-lobed islands observed on (100)-textured Cu foils, each island can be a single crystal. Thus, epitaxial graphene on smooth, clean Cu(111) has fewer structural defects than it does on Cu(100).
Article
Full-text available
A wafer-scale graphene circuit was demonstrated in which all circuit components, including graphene field-effect transistor and inductors, were monolithically integrated on a single silicon carbide wafer. The integrated circuit operates as a broadband radio-frequency mixer at frequencies up to 10 gigahertz. These graphene circuits exhibit outstanding thermal stability with little reduction in performance (less than 1 decibel) between 300 and 400 kelvin. These results open up possibilities of achieving practical graphene technology with more complex functionality and performance.
Article
Full-text available
By using ab initio molecular dynamics calculations, we show that even where the graphene lattice constant contracts, as previously reported for freestanding graphene below room temperature, the average carbon-carbon distance increases with temperature, in both free and supported graphene. This results in a larger corrugation at higher temperature, which can affect the interaction between graphene and the supporting substrate. For a weakly interacting system as graphene/Ir(111), we confirm the results using an experimental approach which gives direct access to interatomic distances.
Article
Full-text available
The properties of polycrystalline materials are often dominated by the size of their grains and by the atomic structure of their grain boundaries. These effects should be especially pronounced in two-dimensional materials, where even a line defect can divide and disrupt a crystal. These issues take on practical significance in graphene, which is a hexagonal, two-dimensional crystal of carbon atoms. Single-atom-thick graphene sheets can now be produced by chemical vapour deposition on scales of up to metres, making their polycrystallinity almost unavoidable. Theoretically, graphene grain boundaries are predicted to have distinct electronic, magnetic, chemical and mechanical properties that strongly depend on their atomic arrangement. Yet because of the five-order-of-magnitude size difference between grains and the atoms at grain boundaries, few experiments have fully explored the graphene grain structure. Here we use a combination of old and new transmission electron microscopy techniques to bridge these length scales. Using atomic-resolution imaging, we determine the location and identity of every atom at a grain boundary and find that different grains stitch together predominantly through pentagon-heptagon pairs. Rather than individually imaging the several billion atoms in each grain, we use diffraction-filtered imaging to rapidly map the location, orientation and shape of several hundred grains and boundaries, where only a handful have been previously reported. The resulting images reveal an unexpectedly small and intricate patchwork of grains connected by tilt boundaries. By correlating grain imaging with scanning probe and transport measurements, we show that these grain boundaries severely weaken the mechanical strength of graphene membranes but do not as drastically alter their electrical properties. These techniques open a new window for studies on the structure, properties and control of grains and grain boundaries in graphene and other two-dimensional materials.
Article
A unique ethanol-precursor-based LPCVD route is developed for the fast (4 min, improved 20 times) and scalable (25 inch, improved six times) growth of high-quality graphene glass. The obtained graphene glass presents high uniformity across large areas and is demonstrated to be an excellent material for constructing switchable windows and biosensor devices, owing to its excellent transparency and conductivity.
Article
Aside from unusual properties of mono-layer graphene, bi-layer has been shown to have even more interesting physics, in particular allowing bandgap opening with dual gating for proper interlayer symmetry. Such properties, promising for device applications, ignited significant interest in understanding and controlling the growth of bi-layer graphene. Here we systematically investigate a broad set of flow rates and relative gas ratio of CH4 to H2 in atmospheric pressure chemical vapor deposition of multi-layered graphene. Two very different growth windows are identified. For relatively high CH4 to H2 ratios, graphene growth is relatively rapid with an initial first full layer forming in seconds upon which new graphene flakes nucleate then grow on top of the first layer. The stacking of these flakes versus the initial graphene layer is mostly turbostratic. This growth mode can be likened to Stranski-Krastanov growth. With relatively low CH4 to H2 ratios, growth rates are reduced due to a lower carbon supply rate. In addition bi, tri and few-layer flakes form directly over the Cu substrate as individual islands. Etching studies show that in this growth mode subsequent layers form beneath the first layer presumably through carbon radical intercalation. This growth mode is similar to that found with Volmer-Weber growth and was shown to produce highly oriented AB-stacked materials. These systematic studies provide new insight into bi-layer graphene formation and define the synthetic range where gapped bilayer graphene can be reliably produced.
Article
Single-crystalline artificial AB-stacked bilayer graphene is formed by aligned transfer of two single-crystalline monolayers in wafer-scale. The obtained bilayer has a well-defined interface and electronically equivalent to exfoliated or direct-grown AB-stacked bilayer.
Article
Band gap opening in bilayer graphene (BLG) under a vertical electric field is important for the realization of high performance graphene-based semiconductor devices and, thus, the synthesis of uniform and large-area BLG is required. Here we demonstrate the synthesis of a highly uniform BLG film by chemical vapor deposition (CVD) over epitaxial Ni-Cu (111) binary alloy catalysts. The relative concentration of Ni and Cu as well as the growth temperature and cooling profile were found to strongly influence the uniformity of the BLG. In particular, a slow cooling process after switching off the carbon feedstock is important for obtaining a uniform second layer, covering more than 90% of the total area. Moreover, low-energy electron microscopy (LEEM) study revealed the second layer grows underneath the first layer. We also investigated the stacking order by Raman spectroscopy and LEEM and found that 70~80% of bilayer graphene has Bernal stacking. The metastable 30º-rotated orientations were also observed both in the upper and lower layers. From our experimental observations, a new growth mode is proposed; the first layer grows during the CH4 supply on Cu-Ni alloy surface, while the second layer is segregated from the bulk alloy during the cooling process. Our work highlights the growth mechanism of BLG and offers a promising route to synthesize uniform and large-area BLG for future electronic devices.
Article
Chemical vapor deposition (CVD) is widely considered to be the most economically viable method to produce graphene for high-end applications. However, this deposition technique typically yields undesired grain boundaries in the graphene crystals, which drastically increases the sheet resistance of the layer. These grain boundaries are mostly caused by the polycrystalline nature of the catalytic template that is commonly used. Therefore, to prevent the presence of grain boundaries in graphene crystals, it is crucial to develop a large scale, single-crystalline template. In this paper, we demonstrate the deposition of a single-crystalline Cu(111) film on top of a 2″ sapphire wafer. The crystalline quality of the Cu(111) templates is optimized by controlled modification of the sapphire surface termination and by tuning the Cu deposition conditions. Moreover, we find that the Cu layer transforms into an untwinned single-crystalline Cu(111) structure after annealing at typical graphene growth temperatures. This allows for the growth of high-quality graphene by the CVD technique. The findings presented in this paper are an important step forward in the production of wafer scale, single-crystalline graphene.
Article
The growth of single-layer graphene on Cu metal by chemical vapor deposition (CVD) is a versatile method for synthesizing high-quality, large-area graphene. It is known that high CVD temperatures, close to the Cu melting temperature (1083 °C), are effective for the growth of large graphene domains, but the growth dynamics of graphene over the high-temperature Cu surface is not clearly understood. We investigated the surface dynamics of the single-layer graphene growth by using heteroepitaxial Cu(111) and Cu(100) films. At relatively lower temperatures, 900-1030 °C, the as-grown graphene showed the identical orientation with the underlying Cu(111) lattice. However, when the graphene was grown above 1040 °C, a new stable configuration of graphene with 3.4° rotation became dominant. This slight rotation is interpreted by the enhanced graphene-Cu interaction due to the formation of long-range ordered structure. Further increase of the CVD temperature resulted in graphene which is rotated with wide angle distributions, suggesting the enhanced thermal fluctuation of the Cu lattice. The band structures of CVD graphene grown at different temperatures are well correlated with the observed structural change of the graphene. The strong impact of high CVD temperature on a Cu catalyst was further confirmed by the structural conversion of a Cu(100) film to Cu(111) which occurred during the high-temperature CVD process. Our work presents important insight into the growth dynamics of CVD graphene, which can be developed to high-quality graphene for future high-performance electronic and photonic devices.
Article
Graphene chemical vapor deposition on copper is phenomenologically complex, yielding diverse crystal morphologies, including lobes, dendrites, stars, and hexagons, of various orientations depending on conditions. We present a comprehensive study of the evolution of these morphologies as a function of the copper surface orientation, absolute pressure, hydrogen-to-methane ratio (H2:CH4), and nucleation density. Growth was studied on ultrasmooth, epitaxial copper films inside copper enclosures to minimize copper polycrystallinity and roughness and decrease the graphene nucleation density. At low pressure and low H2:CH4, circular graphene islands initially form. After exceeding 1.0 μm, Mullins-Sekerka instabilities evolve into dendrites extending hundreds of micrometers in the 100, 111, and 110 directions on Cu(100), Cu(110), and Cu(111), respectively, indicating mass transport limited growth. Twin boundaries perturb the preferential growth direction on Cu(111) and alter graphene morphology. Increasing H2:CH4 results in compact islands that reflect the copper symmetry. At atmospheric pressure and low H2:CH4, Mullins-Sekerka instabilities develop but with multiple preferred orientations. Increasing H2:CH4 results in more hexagonal islands. Every growth regime can be tuned to yield continuous monolayers with a D:G Raman ratio <0.1. The understanding gained from this study provides a roadmap to rationally tailor the structure, morphology, and orientation of graphene crystals.
Article
The uniform growth of single-crystal graphene over wafer-scale areas remains a challenge in the commercial-level manufacturability of various electronic, photonic, mechanical, and other devices based on graphene. Here, we describe wafer-scale growth of wrinkle-free single-crystal monolayer graphene on silicon wafer using a hydrogen-terminated germanium buffer layer. The anisotropic twofold symmetry of the germanium (110) surface allowed unidirectional alignment of multiple seeds, which were merged to uniform single-crystal graphene with predefined orientation. Furthermore, the weak interaction between graphene and underlying hydrogen-terminated germanium surface enabled the facile etch-free dry transfer of graphene and the recycling of the germanium substrate for continual graphene growth.
Article
An Ort und Stelle: Moderates Ätzen durch ein Wasserstoffplasma während der plasmaverstärkten chemischen Dampfabscheidung schafft einen Gleichgewichtszustand beim Kantenwachstum von Graphen, sodass hexagonale Graphen-Einkristalle oder kontinuierliche Graphenfilme bei 400 °C ohne Katalysator auf dielektrischen Substraten erzeugt werden können (siehe Bild). Das resultierende Graphen ist von hoher Qualität und kann unter Vermeidung problematischer Transferprozesse direkt in Funktionssystemen verwendet werden.
Article
We present a facile method to grow millimeter-size, hexagon-shaped, monolayer, single-crystal graphene domains on commercial metal foils. After a brief in situ treatment, namely melting and subsequent re-solidification of copper at atmospheric pressure, a smooth surface is obtained, resulting in the low nucleation density necessary for the growth of large-size single-crystal graphene domains. Comparison with other pretreatment methods reveals the importance of copper surface morphology and the critical role of the melting-resolidification pretreatment. The effect of important growth process parameters is also studied to determine their roles in achieving low nucleation density. Insight into the growth mechanism has thus been gained. Raman spectroscopy and selected area electron diffraction confirm that the synthesized millimeter-size graphene domains are high-quality monolayer single crystals with zigzag edge terminations.
Article
We study the influence of the surface structure of copper single crystals on the growth of large area monolayer graphene by chemical vapor deposition (CVD) in ultra-high vacuum (UHV). Using atomic-resolution scanning tunneling microscopy (STM), we find that graphene grows primarily in registry with the underlying copper lattice for both Cu(111) and Cu(100). The graphene has a hexagonal superstructure on Cu(111) with a significant electronic component,whereas it has a linear superstructure on Cu(100). Graphene on Cu(111) forms a microscopically uniform sheet, the quality of which is determined by the presence of grain boundaries where graphene grains with different orientations meet. Graphene grown on Cu(100) under similar conditions does not form a uniform sheet and instead displays exposed nanoscale edges. Our results indicate the importance of the copper crystal structure on the microstructure of graphene films produced by CVD.
Article
The atomic structure of monolayer graphite formed on a Ni(111) surface was investigated by means of LEED intensity analysis. We measured the I-V curves of the (1,0), (0,1) and (1,1) diffraction spots from a (1 × 1) atomic structure, and analyzed them using Van Hove's analytical program based on dynamical theory. Three different atomic structures meeting the experimental requirement of the 3m symmetry were evaluated with Pendry's reliability factor. The final best-fit structure characterized by the minimum Pendry's reliability factor of 0.22 is as follows: one carbon atom in a unit cell of the graphite overlayer is located at the on-top site of the topmost Ni atoms, while another carbon atom exists at the fcc hollow site. The spacing between the flat overlayer and the topmost Ni layer is 2.11 ± 0.07 Å, which is much narrower than the interlayer spacing in bulk graphite (3.35 Å).
Article
Radiative recombination in gold, copper, and gold-copper alloys has been observed arising from transitions between electrons in conduction-band states below the Fermi level and holes in the d bands generated by optical excitation.
Article
For the chemical vapor deposition (CVD) of graphene, grain growth of the catalyst metal and thereby surface roughening are unavoidable during the high temperature annealing for the graphene synthesis. Considering that nano-scale wrinkles and poor uniformity of synthesized graphene originate from the roughened metal surface, improving surface flatness of metal thin films is one of the key factors to synthesize high quality graphene. Here, we introduce a new method for graphene synthesis for fewer wrinkle formation on a catalyst metal. The method utilizes a reduced graphene oxide (rGO) interfacial layer between the metal film and the wafer substrate. The rGO interlayer releases the residual stress of the metal thin film and thereby suppresses stress-induced metal grain growth. This technique makes it possible to use much thinner nickel films, leading to a dramatic suppression of RMS roughness (~3 nm) of the metal surface even after high temperature annealing. It also endows excellent control of the graphene thickness due to the reduced amount of total carbon in the thin nickel film. The synthesized graphene layer having negligible amount of wrinkles exhibits excellent thickness uniformity (95% coverage of monolayer) and very high carrier mobility of ~15000 cm(2)/V·s.
Article
Potassium adsorption on graphite has been a model system for the understanding of the interaction of alkali metals with surfaces. The geometries of the (2×2) structure of potassium on both single-crystal graphite (SCG) and highly oriented pyrolytic graphite (HOPG) were investigated for various preparation conditions for graphite temperatures between 55 and 140 K. In all cases, the geometry was found to consist of K atoms in the hollow sites on top of the surface. The K-graphite average perpendicular spacing is 2.79±0.03 Å, corresponding to an average C-K distance of 3.13±0.03 Å, and the spacing between graphite planes is consistent with the bulk spacing of 3.35 Å. No evidence was observed for a sublayer of potassium. The results of dynamical LEED studies for the clean SCG and HOPG surfaces indicate that the surface structures of both are consistent with the truncated bulk structure of graphite.
Article
Raman spectra are reported from single crystals of graphite and other graphite materials. Single crystals of graphite show one single line at 1575 cm−1. For the other materials like stress‐annealed pyrolitic graphite, commercial graphites, activated charcoal, lampblack, and vitreous carbon another line is detected at 1355 cm−1. The Raman intensity of this band is inversely proportional to the crystallite size and is caused by a breakdown of the k‐selection rule. The intensity of this band allows an estimate of the crystallite size in the surface layer of any carbon sample. Two in‐plane force constants are calculated from the frequencies.
Article
By using two-stage, metal-catalyst-free chemical vapor deposition (CVD), it is demonstrated that high-quality polycrystalline graphene films can directly grow on silicon nitride substrates. The carrier mobility can reach about 1500 cm(2) V(-1) s(-1) , which is about three times the value of those grown on SiO(2) /Si substrates, and also is better than some examples of metal-catalyzed graphene, reflecting the good quality of the graphene lattice.
Article
Size, orientation, and boundary of graphene domains are the current focus of chemical vapor deposition (CVD) growth because they are closely related to graphene’s physical properties. Here, we study the domain structure of single-layer graphene grown by ambient pressure CVD over heteroepitaxial Cu(111) and Cu(100) films. Low energy electron microscope measurements reveal that the Cu(111) film gives uniform single-layer graphene whose orientation is consistent with the underlying Cu lattice for areas over 1 mm2. On the other hand, single-layer graphene grown on Cu(100) film exhibits clear multidomain structure with two main orientations rotated by 30°. Moreover, a weak Raman D-band is observed along the domain boundaries for the graphene grown on the Cu(100). Our results give new insights into the growth mechanism of CVD-grown graphene over Cu metals and offer a new direction for the realization of single-crystalline graphene.Keywords: graphene; domain structure; chemical vapor deposition; heteroepitaxy; low energy electron microscope
Article
In this research, we constructed a controlled chamber pressure CVD (CP-CVD) system to manipulate graphene's domain sizes and shapes. Using this system, we synthesized large (∼4.5 mm(2)) single-crystal hexagonal monolayer graphene domains on commercial polycrystalline Cu foils (99.8% purity), indicating its potential feasibility on a large scale at low cost. The as-synthesized graphene had a mobility of positive charge carriers of ∼11 000 cm(2) V(-1) s(-1) on a SiO(2)/Si substrate at room temperature, suggesting its comparable quality to that of exfoliated graphene. The growth mechanism of Cu-based graphene was explored by studying the influence of varied growth parameters on graphene domain sizes. Cu pretreatments, electrochemical polishing, and high-pressure annealing are shown to be critical for suppressing graphene nucleation site density. A pressure of 108 Torr was the optimal chamber pressure for the synthesis of large single-crystal monolayer graphene. The synthesis of one graphene seed was achieved on centimeter-sized Cu foils by optimizing the flow rate ratio of H(2)/CH(4). This work should provide clear guidelines for the large-scale synthesis of wafer-scale single-crystal graphene, which is essential for the optimized graphene device fabrication.
Article
Highly ordered single-layer graphene was fabricated on a large scale by thermal annealing of a ruthenium single crystal Ru(0001) containing carbon. The graphene layers were grown on a Ru(0001) surface by thermal annealing and Ru crystals with surface was polished to a roughness of less than 0.03 μm, were commercially obtained. The results show that the graphene overlayer has a hexagonal instead of honeycomb lattice due to diatomic resolution of images. XPS and AES analysis of graphene layer show an increase in the intensity at 273 eV that is attributed due to carbon formation on the Ru(0001) surface. It is also found that the dimensions, long-range order, and crystallinity of the graphene layer formed depend strongly on the kinetic parameters. An inappropriately annealed Ru at wrong temperature or time leads to an Ru with 2D hexagonal lattice that is less ordered and contain high density of defects and dislocations.
Article
Epitaxial twinned single‐crystal films of copper have been grown on sapphire substrates by high vacuum evaporation in the temperature range 240–‐375°C. The presence of a twin relationship in copper deposited on the basal plane of sapphire was demonstrated and evaluated by x‐ray diffraction techniques. The epitaxy has been shown to be (111) Cu ‖ (0001) α-Al 2 O 3 ; [21¯1¯) Cu ‖ [21¯1¯0] α-Al 2 O 3 . The films have been found to exhibit the bulk metal resistivity.
Article
Mechanisms of charging in AES are reconsidered to the light of recent developments concerning the leading role of secondary electron emission (SEE) in the self-regulation processes taking place in insulating materials irradiated with keV-electrons. A specific attention is paid to SE angular distribution and to distortion of SE trajectories by the electric field build-up into the vacuum when the surface potential is negative. These external mechanisms are associated to internal mechanisms resulting from the effect of low potential barrier or of low hollow in the SE generation region. From these investigations the main parameters governing the time dependence of charging have been identified and the fact that the critical energy (between positive and negative charging region at steady state), , is less than the convention critical energy E°2 (where the total SEE yield is unity) has been re-confirmed for AES. Common points and differences between AES and other electron beam techniques (such as those based on external collector positively biased in SEE yield measurements) are also discussed in detail and possible experimental artefacts are also pointed out (such as those resulting from the incorrect use of the shift of Duane-Hunt limit with a X-ray detector in a SEM). Some practical consequences to minimize charging effects (specimen preparation, operating conditions and use of additional irradiations) have been deduced.
Article
The problems in the analysis of surface structures by means of electron diffraction, particularly at low energy, are reviewed. A brief introduction is given to the basic scattering and diffraction phenomena occurring at a solid surface after which the nature of the experimental diffraction data is described. The theoretical interpretation of the diffracted intensity by various kinematical and dynamical methods is outlined and the present difficulties in obtaining a complete surface structure determination are examined. The different types of ordered and disordered layers which may be formed on a surface—usually by adsorption of foreign species—are discussed and the interpretation of the corresponding diffraction patterns is illustrated by some examples.
Article
We review recent work on Raman spectroscopy of graphite and graphene. We focus on the origin of the D and G peaks and the second order of the D peak. The G and 2D Raman peaks change in shape, position and relative intensity with number of graphene layers. This reflects the evolution of the electronic structure and electron–phonon interactions. We then consider the effects of doping on the Raman spectra of graphene. The Fermi energy is tuned by applying a gate-voltage. We show that this induces a stiffening of the Raman G peak for both holes and electrons doping. Thus Raman spectroscopy can be efficiently used to monitor number of layers, quality of layers, doping level and confinement.
Article
We present a detailed transmission electron microscopy and electron diffraction study of the thinnest possible membrane, a single layer of carbon atoms suspended in vacuum and attached only at its edges. Membranes consisting of two graphene layers are also reported. We find that the membranes exhibit random microscopic curvature that is strongest in single-layer membranes. A direct visualization of the roughness is presented for two-layer membranes where we used the variation of diffracted intensities with the local orientation of the membrane.
Article
We have fabricated graphene nano-ribbon field-effect transistor devices and investigated their electrical properties as a function of ribbon width. Our experiments show that the resistivity of a ribbon increases as its width decreases, indicating the impact of edge states. Analysis of temperature-dependent measurements suggests a finite quantum confinement gap opening in narrow ribbons. The electrical current noise of the graphene ribbon devices at low frequency is found to be dominated by the 1/f noise.
Article
We present the results of a thorough study of wet chemical methods for transferring chemical vapor deposition grown graphene from the metal growth substrate to a device-compatible substrate. On the basis of these results, we have developed a "modified RCA clean" transfer method that has much better control of both contamination and crack formation and does not degrade the quality of the transferred graphene. Using this transfer method, high device yields, up to 97%, with a narrow device performance metrics distribution were achieved. This demonstration addresses an important step toward large-scale graphene-based electronic device applications.
Article
Graphene single crystals with dimensions of up to 0.5 mm on a side were grown by low-pressure chemical vapor deposition in copper-foil enclosures using methane as a precursor. Low-energy electron microscopy analysis showed that the large graphene domains had a single crystallographic orientation, with an occasional domain having two orientations. Raman spectroscopy revealed the graphene single crystals to be uniform monolayers with a low D-band intensity. The electron mobility of graphene films extracted from field-effect transistor measurements was found to be higher than 4000 cm(2) V(-1) s(-1) at room temperature.
Article
Epitaxial chemical vapor deposition (CVD) growth of uniform single-layer graphene is demonstrated over Co film crystallized on c-plane sapphire. The single crystalline Co film is realized on the sapphire substrate by optimized high-temperature sputtering and successive H(2) annealing. This crystalline Co film enables the formation of uniform single-layer graphene, while a polycrystalline Co film deposited on a SiO(2)/Si substrate gives a number of graphene flakes with various thicknesses. Moreover, an epitaxial relationship between the as-grown graphene and Co lattice is observed when synthesis occurs at 1000 °C; the direction of the hexagonal lattice of the single-layer graphene completely matches with that of the underneath Co/sapphire substrate. The orientation of graphene depends on the growth temperature and, at 900 °C, the graphene lattice is rotated at 22 ± 8° with respect to the Co lattice direction. Our work expands a possibility of synthesizing single-layer graphene over various metal catalysts. Moreover, our CVD growth gives a graphene film with predefined orientation, and thus can be applied to graphene engineering, such as cutting along a specific crystallographic direction, for future electronics applications.