ArticlePDF Available

Analysis and Design of a High-Order Discrete-Time Passive IIR Low-Pass Filter

Authors:

Abstract and Figures

In this paper, we propose a discrete-time IIR low-pass filter that achieves a high-order of filtering through a charge-sharing rotation. Its sampling rate is then multiplied through pipelining. The first stage of the filter can operate in either a voltage-sampling or charge-sampling mode. It uses switches, capacitors and a simple gm-cell, rather than opamps, thus being compatible with digital nanoscale technology. In the voltage-sampling mode, the gm-cell is bypassed so the filter is fully passive. A 7th-order filter prototype operating at 800 MS/s sampling rate is implemented in TSMC 65 nm CMOS. Bandwidth of this filter is programmable between 400 kHz to 30 MHz with 100 dB maximum stop-band rejection. Its IIP3 is +21 dBm and the averaged spot noise is 4.57 nV/√Hz. It consumes 2 mW at 1.2 V and occupies 0.42 mm2.
Content may be subject to copyright.
IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 49, NO. 11, NOVEMBER 2014 2575
Analysis and Design of a High-Order Discrete-Time
Passive IIR Low-Pass Filter
Massoud Tohidian, Student Member, IEEE, Iman Madadi, Student Member, IEEE,and
Robert Bogdan Staszewski, Fellow, IEEE
Abstract—In this paper, we propose a discrete-time IIR
low-pass lter that achieves a high-order of ltering through
a charge-sharing rotation. Its sampling rate is then multiplied
through pipelining. The rst stage of the lter can operate in
either a voltage-sampling or charge-sampling mode. It uses
switches, capacitors and a simplegm-cell,ratherthanopamps,
thus being compatible with digital nanoscale technology. In the
voltage-sampling mode, the gm-cell is bypassed so the lter is
fully passive. A 7th-order lter prototype operating at 800 MS/s
sampling rate is implemented in TSMC 65 nm CMOS. Bandwidth
of this lter is programmable between 400 kHz to 30 MHz with
100 dB maximum stop-band rejection. Its IIP3 is +21 dBm and the
averaged spot noise is 4.57 nV/ Hz. It consumes 2 mW at 1.2 V
and occupies 0.42 mm2.
Index Terms—CMOS, digital equalization, discrete time, high
linearity, high order, IIR, low noise, low-pass lter, low power, pas-
sive, real pole, recongurable, switched capacitor.
I. INTRODUCTION
INTEGRATED low-pass lters (LPFs) are key building
blocks in various types of applications, such as wireless
communications [1]–[7], hard-disk drive read channel [8], [9],
video signal processing [10], smoothing ltering in a DAC
[11], and anti-aliasing ltering before a sampling system. Noise
of these lters is one of the key system-level concerns. This
noise can be usually traded off with the total lter capacitance
and, consequently, total power and area. Therefore, for a given
system-level noise budget, a lter with a lower noise coefcient
reduces the area and power consumption. On the other hand,
linearity of the lter should be high enough to maintain delity
of the wanted signal.
As shown in Fig. 1, three types of commonly used analog
lters are Gm-C, active RC, and active switched-capacitor
(SC) lters [12]–[17]. In Gm-C and active RC lters, pole/zero
locations are set by value, capacitance (C),andresis-
tance (R). Due to the poor matching of /C and R/C values,
Manuscript received April 21, 2014; revised July 18, 2014, July 22, 2014;
accepted September 13, 2014. Date of publication October 13, 2014; date of
current version October 24, 2014. This paper was approved by Associate Ed-
itor Anthony Chan Carusone. This work was supported in part by the EU ERC
Starting Grant 307624.
The authors are with the Electronics Research Laboratory/DIMES,
Delft University of Technology, 2628CD Delft, The Netherlands (e-mail:
m.tohidian@ieee.org).
Color versions of one or more of the gures in this paper are available online
at http://ieeexplore.ieee.org.
Digital Object Identier 10.1109/JSSC.2014.2359656
Fig. 1. Conventional analog lters: (a) Gm-C, (b) active-RC, and (c) active
switched-capacitor.
process-voltage-temperature (PVT) variations have consid-
erable impact on lter transfer function. Therefore, many
applications require component (i.e., ,R,andC) calibra-
tion/tuning [16], [17]. However, pole/zero locations of active
SC lters are accurately set by capacitor ratio, thus minimizing
the effect of PVT variations.
Implementation of such lters in deep nanoscale CMOS is
becoming increasingly difcult, especially due to the design
challenges of high-quality opamps and high-linearity gm-cells.
In contrast, switching performance of MOS transistors is im-
proving due to the technology scaling. Consequently, passive
switched-capacitor lters are expected to work at much higher
sampling rates than do the active SC lters, where the speed is
limited to opamp settling. Also, the passive lters will consume
much less power. However, it might not be possible to synthe-
size complex poles in a fully passive structure.
The passive LPF proposed in this paper benets from these
advantages. Using a sampling capacitor to rotate charge be-
tween several history capacitors, a high-order IIR low-pass lter
is created. To further increase sampling rate, a pipelining tech-
nique of the sampling capacitor is introduced. Using these tech-
niques, a 7th-order LPF is implemented, that operates up to
1 GS/s [18]. In [1]–[5], passive switched-capacitor FIR/IIR l-
ters have been used for baseband signal processing of an RF re-
ceiver. However, none of the prior publications have proposed
such a high-order passive ltering in one stage. A somewhat
similar structure resembling the charge rotating lter has been
reported in [19]. However, a 3rd-order LPF lter is used in an
N-path lter to form a band-pass transfer function. Furthermore,
0018-9200 © 2014 IEEE. Translations and content mining are permitted for academic research only. Personal use is also permitted, but republication/
redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.
2576 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 49, NO. 11, NOVEMBER 2014
its LPF does not exploit any pipeline techniques such as one in-
troduced in this work.
The proposed lter has a very low input-referred noise, be-
cause of using only one sampling capacitor for all the ltering
stages. Thanks to the passive operation, it has an extremely high
linearity. A simple invertor-based gm-cell might be used in front
of this lter to provide gain. This lter consists of only switches,
capacitors, a clock waveform generator, and a simple gm-cell.
Therefore, it is amenable to the digital deep nanoscale CMOS
technology. The proposed lter has been successfully veried
at the system level in a discrete-time superheterodyne receiver
[6]: The 6th-order charge-rotating lter is employed there as the
rst baseband channel selection lter.
The rest of this paper is organized as follows. Section II
provides an overview of basic DT passive LPF. Section III
describes the proposed high-order DT lter. Design and
implementation of the lter are described in Section IV.
Section V summarizes measurement results. Section VI pro-
vides the conclusion.
II. BASIC DISCRETE-TIME LOW-PASS IIR FILTERS
A. First-Order Filter
Perhaps the simplest analog discrete-time (DT) lter is a pas-
sive 1st-order IIR low-pass lter, as depicted in Fig. 2(a) [20].
In each cycle at , a sampling capacitor samples a con-
tinuous-time input voltage . Hence, we call it a voltage
sampling lter. Then at shares its stored charge with a
history capacitor .Attheendof , we have the following
equation for the discrete-time output voltage:
(1)
Hence, its transfer function can be written in z-domain as
(2)
where coefcient is . This is a standard form
of a DT LPF with unity dc gain and half-a-cycle delay, .
Switch driving clock waveforms are shown in Fig. 2(c).
The step response of this lter is shown in Fig. 3(a). and
are chosen 1 pF each, just for illustration’s sake. Discrete-
time output samples are available in each cycle at the end of .
Fig. 2(b) shows an alternative 1st-order DT LPF (IIR1) ex-
ploiting charge sampling [2], [20], [21]. At rst, the contin-
uous-time input voltage is converted into current by the gm-cell
of transconductance gain . This current is integrated over a
time window on and during and on during
. However, we can assume for simplicity that discrete-time
input charge packets arrive only at :
(3)
Although this assumption slightly changes transient waveforms
of and voltages, it leads to exactly the same values of
the output samples while simplifying the analysis of the lter.
Fig. 2. (a) Voltage sampling and (b) charge sampling 1st-order DT IIR lter
with (c) their clock waveforms.
Fig. 3. Step response of (a) the voltage sampling, and (b) charge sampling 1st-
order DT lter ( pF, mS, GHz, and
MS/s).
During shares its charge with and a new charge is
input. Consequently, we have the DT output samples at the end
of :
(4)
(5)
The step response of this lter is shown in Fig. 3(b). In this
example, and are 1 pF and is 0.5 mS.
Fig. 4 shows top-level behavioral models of the IIR1 lters.
In the voltage-sampling structure of Fig. 4(a), the sampler rst
TOHIDIAN et al.: ANALYSIS AND DESIGN OF A HIGH-ORDER DISCRETE-TIME PASSIVE IIR LOW-PASS FILTER 2577
Fig. 4. Top-level block diagram model of (a) voltage sampling, and (b) charge
sampling IIR1.
Fig. 5. (a) Wideband transfer function, and (b) Bode plot frequency response
of IIR1 ( pF, pF, mS, GHz, and
500 MS/s).
samples the continuous-time (CT) analog input voltage
at and converts it into a DT analog voltage. Then, this signal
is fed to a 1st-order LPF with half-a-cycle delay and the
output comes out every cycle at . DC voltage gain of this lter
is unity. Based on the Nyquist sampling theory, sampling of a
CT signal folds frequencies around (for )
into around dc, where is the sampling frequency. As depicted
in Fig. 5(a), we observe the folding image frequencies at ,
, and so on. Fig. 5(b) shows the transfer function, which has
a roll-off of 20 dB/dec.
A behavioral model of the charge-sampling IIR1 is depicted
in Fig. 4(b). Integrating the gm-cell current in the time window,
as described in (3), forms a CT sinc-type antialiasing lter prior
to sampling [2], [20]–[22]. The transfer function of this win-
dowed integration (WI) from the input voltage to the output
charge is
(6)
This sinc-shape lter has notch frequencies at
. Assuming ideal clock waveforms, is
thesameas . In the next step, the sampler converts
the CT signal to a DT signal and, at the end, a 1st-order DT
LPF performs the main ltering. As shown in Fig. 5(a), notch
frequencies of the antialiasing lter are on top of the folding
image frequencies, thus offering some protection. DC voltage
gain is calculated by multiplying the dc gain of the antialiasing
lter by the dc gain of the DT lter:
(7)
In this equation, is an equivalent DT resistance of the
sampling capacitor.
B. Second-Order Filter
As shown in Fig. 6(a), a 2nd-order DT low-pass lter (IIR2)
can be synthesized by adding a second history capacitor to the
charge sampling 1st-order LPF [1], [3], [7], [20]. The previously
analyzed charge sampling lter, IIR1, is indicated here within
the blue dotted box.
At the end of contains the output sample of the IIR1.
Then, by connecting to a second history capacitor at
, another 1st-order LPF is formed, whose structure is indi-
cated within the red dashed box in Fig. 6(a). Then at ,the
remaining history of is cleared by discharging it to ground.
This ensures proper operation of the rst IIR1. The transfer
function of this lter is plotted in Fig. 6(b). The 2nd-order IIR
lter has a 2x steeper slope of 40 dB/dec compared to the IIR1
with 20 dB/dec.
III. PROPOSED HIGH-ORDER DT IIR LOW-PASS FILTER
Many applications require higher orders of ltering. The eas-
iest way to build a high-order lter is to cascade two or more
1st and/or 2nd order lters. A similar approach has been used
in [2] and [4], where two gm-cells and passive lters are cas-
caded. However, extending the IIR lter order using the con-
ventional approach carries two serious disadvantages: First, the
active buffers between the stages worsen both the noise and lin-
earity. Second, the increased reset-induced charge loss on each
stage of lter lowers signal-to-noise ratio. We propose a new
structure that does not suffer from these handicaps.
A. Charge Rotating DT Filter
Before introducing a new high-order lter, the IIR2 is re-
drawninFig.7(a). is placed at the center of the (as yet in-
complete) circle. In each cycle, is “rotating” clockwise and
is sequentially connecting to ,, and then ground.
To extend this idea, we add in Fig. 7(b) a few phase slots
between and the last reset phase, together with more history
capacitors. By moving to the next new phase, which
2578 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 49, NO. 11, NOVEMBER 2014
Fig. 6. (a) Second-order DT low-pass lter and (b) its frequency response
(pF, mS, GHz, and MS/s).
now holds the sample of the 2nd-order lter, shares its charge
with a third history capacitor . This charge sharing creates
another IIR1, cascaded with the previous IIR2. Hence, we now
have a 3rd-order ltering function on that can be read out
at the end of . We can continue doing so until the seventh
history capacitor (or arbitrarily higher), in order to make a
7th-order lter. In the last phase is nally reset. Since
the capacitor rotates charge between the history capacitors,
we call this structure a “charge rotating” DT lter. As shown at
the bottom of Fig. 7(c), required multiphase clock waveforms
to drive the switches can be generated from a reference clock.
Compared to the IIR2 structure in Fig. 6(a), the new charge
rotating (CR) structure preserves its gain and linearity even
at much higher ltering orders. The gain remains the same
simply because no additional charge loss occurs in the system.
In this lter structure, the switched-capacitor circuit is remark-
ably linear and so the gm-cell appears to be the bottleneck of
the linearity.
B. Step Response
To better understand the operation of the lter, its step re-
sponse is plotted in Fig. 8. At rst, suppose all the capacitors
are empty. For simplicity, we choose pF. Also,
we suppose that the input charge packet pC arrives
every cycle at . A zoom-in of the step response is plotted in
Fig. 8(a). At , the input charge is transferred to and
that sets the 0.5 V potential on both capacitors. , which con-
tains a sample of the 1st-order lter at the end of ,isthen
Fig. 7. (a) The IIR2 is redrawn. (b) Charge rotating 7th-order lter with (c) its
clock waveforms. A closed switch is shown with a solid arrow, and an open
switch is shown with a dimmed dashed arrow.
connected to at . The result is 0.25 V on both capac-
itors. Next at ,, containing the sample of the 2nd-order
lter, is connected to and the result is 0.125 V. In this
way, transfers charge from one history capacitor to the next
until . Then, it gets reset at . As plotted in Fig. 8(b),
the outputs of higher-order stages are growing more slowly.
This is because their respective input samples have been accu-
mulated several times earlier, meaning slower but longer and
smoother integration.
C. Transfer Function
Considering that samples of the main output are
ready at the end of , we have (8), shown at the bottom of
TOHIDIAN et al.: ANALYSIS AND DESIGN OF A HIGH-ORDER DISCRETE-TIME PASSIVE IIR LOW-PASS FILTER 2579
Fig. 8. (a) A zoom-in and (b) the whole step response of the charge rotating
IIR7 ( pF, mS, GHz, and
125 MS/s).
the page. In these equations, each in the discrete-time ar-
gument means one phase delay. At is a function of its
value at previous cycle ( 1 delay) and a sample that comes
from the previous phase ( delay). Likewise, charge sharing
equations from to are derived. Converting all these equa-
tions into z-domain, we can derive the following general equa-
tion for different outputs:
(9)
for . In this equation, .
Normally, we prefer to have all the poles identical and so we
choose all the history capacitors of the same size
. Then the transfer function of the main output (i.e., )is
simplied to
(10)
From this equation, dc gain of from the input charge, ,is
. Then, by using (6), the overall dc gain of this lter from
the input voltage to its output is
(11)
In this equation, is the time period of the cycle, i.e., the
8 phases. Also, is an equivalent dc resistance of the
sampling capacitor. This lterhasthesamedcgainastheIIR2
lter in (7).
For frequencies much lower than , we can use bilinear
transform to obtain the continuous-time transfer function of
the lter:
(12)
This equation is similar to a transfer function of an RC LPF,
i.e., . Poles of this equation are all located at
. It indicates that bandwidth of this lter only de-
pends on the ratio of capacitors and the sampling frequency, thus
making it much less sensitive to PVT variations. This salient
feature eliminates any need of calibration, which is necessary
for other lter types [12]–[17].
Transfer functions at the outputs of different orders are shown
in Fig. 9. The slope of the 7th-order output transfer function
reaches a maximum of 140 dB/dec for far-out frequencies.
D. Equalization of the Transfer Function
In many applications, the wanted signal could be accompa-
nied by a strong interferer. Analog-intensive receivers tradi-
tionally use continuous-time (CT) Butterworth or Chebyshev
type of lters with complex conjugate poles to select the wanted
channel out of adjacent channels while ltering out interferers
and blockers. In this way, most of the ltering is done in the CT
.
.
.(8)
2580 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 49, NO. 11, NOVEMBER 2014
Fig. 9. Bode plot frequency response of the CR IIR7 ( pF, 9pF,
0.125 mS, GHz, and 125 MS/s).
analog domain, and a low dynamic range ADC can be used af-
terwards. However, digitally intensive DT receivers distribute
the channel select ltering between the pre-ADC analog lter
and post-ADC digital lter. In [1]–[7], 2nd/3rd/6th-order real-
pole analog lters are used before the ADC, and the rest of the
ltering is done in digital domain with minimum power con-
sumption. Considering a 3 dB BW, the transfer function of real-
pole lters exhibits a gradual and smooth transition region be-
tween the at pass-band into the sharp roll-off (see Fig. 10(b)).
Therefore, the real-pole lters are used mostly to lter far-out
interferers/blockers, while they have a moderate selectivity be-
tween wanted and adjacent channels.
The proposed DT CR lter could be converted at the system
level to a sharp high selectivity lter (e.g., Butterworth) with
digital assistance in the form of post-emphasis equalizer. The
idea is to “pull in” the 3 dB cutoff frequency transition region of
the analog lter to well within the channel and digitally compen-
sate for the extra droop at the channel edges. The gradual roll-off
region of the analog lter is masked by attening it out in dig-
ital domain such that only the sharp roll-off remains. Fig. 10(a)
shows the concept. The digital equalizer can be an all-pass IIR
lter with 0 dB gain and a small peaking at a certain frequency,
thus of insignicant incremental area and power penalty, espe-
cially in scaled CMOS. Transfer function of this lter is easily
calculated by dividing the targeted total transfer function by the
transfer function of the analog CR lter. In practice, its transfer
function is merged with the existing digital part of the channel
select ltering, sample-rate decimation, VGA, offset cancella-
tion, I/Q mismatch compensation and demodulation [1], [3], [7].
An example is shown in Fig. 10(b). The equalizer is designed
to map the 7th-order real-pole transfer function of the CR lter
to a 5th-order Butterworth lter. The goal of this mapping is
to atten the passband of the overall transfer function, while
keeping it unchanged or better for far-out frequencies. To maxi-
mally reduce power consumption, the digital equalizer operates
in this example at a decimated rate of 10 MS/s while the analog
CR lter runs at 800 MS/s. Note that the CR lter also serves as
an effective anti-aliasing lter for the decimation.
The overall transfer function (including the analog lter and
the digital equalizer), has a higher 3-dB bandwidth
than the real-pole analog lter itself .Consequently,
the input signal undergoes some attenuation by the analog lter
inside the overall passband, which is compensated by the small
peaking of the digital equalizer. While the signal experiences an
overall at transfer function within the passband, the peaking
Fig. 10. (a) Proposed system for digital equalization of the lter transfer func-
tion. (b) An example of equalizing transfer function of a 7th-order real-poles
DT CR lter and comparison with a 5th-order Butterworth. (c) An example im-
plementation of a receiver utilizing a 6th-order charge rotating real-pole lter at
the baseband [6].
increases noise at the transition region frequencies of both the
analog lter and the ADC to some extent. To be able to com-
pare the overall lter with a stand-alone CT analog lter, we
consider that the overall lter transfer function is lumped be-
fore the ADC, but with a gain loss caused by the analog lter.
This loss is equal to the RMS averaged value of transfer func-
tion of the digital equalizer within .
Table I summarizes the analog gain loss for three different
digital equalizers that map the 7th-order real-pole IIR lter
to the 5th- to 7th-order Butterworth lters with a target 3-dB
of 1 MHz. Due to large over-sampling ratio, the
reported gain losses remain almost the same in case
and are scaled proportionally. Depending on the ap-
plication, the order of the analog lter and the mapped transfer
function should be chosen in a way that provides enough
analog stopband attenuation and minimizes gain loss. In case
when the ideal Butterworth lter characteristic is desired for
the proposed real-pole lter, it might be necessary to increase
the ADC dynamic range to compensate for the lter’s droop.
For example, the ADC could require 0.8 to 1.8 extra ENOB,
when the transfer function is mapped to the 5th- to 7th-order
Butterworth, respectively.
TOHIDIAN et al.: ANALYSIS AND DESIGN OF A HIGH-ORDER DISCRETE-TIME PASSIVE IIR LOW-PASS FILTER 2581
TAB L E I
MAPPING OF THE 7TH-ORDER REAL-POLE IIR FILTER TO THE OVERALL
(I.E., ANALOG AND DIGITAL)5TH-TO 7TH-ORDER BUTTERWORTH FILTER OF
1MHZ3DBBANDWIDTH
System functionality of the proposed charge rotating lter
has been veried in a discrete-time superheterodyne receiver
[6], where the identical 6th-order version is employed as the
rst baseband channel selection lter. The simplied receiver
structure is shown in Fig. 10(c). Thanks to the low noise char-
acteristic of this lter, the total receiver has achieved a noise
gure of only 3.2 dB, in addition to a good linearity of
7 dBm that is by no means limited by the high linearity of the
baseband lter.
E. Sampling Rate Increase
Sampling rate of the Fig. 7(b) CR lter is one sample per
cycle, with each cycle comprising 8 phases. Therefore, the sam-
pling frequency is . By increasing the sampling rate,
the frequency folding would be pushed higher, thus making it
less of a concern. Also, the lter can achieve a wider bandwidth.
Operation of the CR IIR7 lter as shown in Fig. 7(b) can be
considered as 8 different stages in series. As new data arrives at
, it is sequentially processed at each stage until . Only then
the next data sample arrives. As history capacitors are
holding the data between different stages, we are able to readily
increase the data rate by pipelining.
Suppose that instead of only one , we have now 8 sam-
pling capacitors, each of them connected to one of the “history”
nodes. Then, by going to the next phase, all of them are moving
to the next node in the clockwise direction. At each new phase
of this pipeline structure, a new data comes into ,a
new data is transferred from to ,from to and
so on until , and one sampling capacitor is reset to ground.
Therefore, a new data comes in and a new data comes out at
each phase (instead of each cycle). In this way, functionality of
the lter has not changed while its sampling rate has increased
by8times . Schematic of this full-rate CR IIR7 lter
is shown in Fig. 11. For each sampling capacitor and its rotation
network, a separate switch bank is used.
The pipeline SC structure has the same charge sharing,
transfer function and gain equations as (8)–(11), but with
replacing each 1/8 delay with a unit delay and considering the
new .
In this lter, if there is a mismatch between different ,
it would slightly shift the pole locations. Since these capaci-
tors have typically a large value and are of the same type, they
are very well matched, thus removing the matching concern.
However, if the mismatch exists between the different in
the pipeline structure, it could alias some amount of signal from
harmonics of inside the passband. However, any signal
Fig. 11. Full-rate CR IIR7 lter using pipelining .
around the harmonics is ltered before the aliasing. In practice,
this non-ideal effect is too small to be observed.
F. N o i s e
Output noise of the charge rotating 7th-order DT lter con-
tains two main contributors: noise of the input gm-cell and noise
of the passive switched-capacitor network. In a process similar
to Fig. 4(a), noise of the gm-cell is shaped by the anti-aliasing
lter, sampled and then shaped by the lter transfer function.
Higher order ltering leads to more noise ltering outside the
passband.
Although it is beyond the scope of this paper, it can be shown
through hand calculations, and veried through noise simula-
tions, that the in-band noise of the proposed passive switched-
capacitor circuit is for ,andremains
the same irrespective of the ltering order. Consequently, one
can arbitrarily increase the ltering order without increasing its
output noise, which is a key advantage over the conventional l-
ters, where each order increase implies more noise (e.g., more
resistors and opamps in an active-RC lter).
G. Robustness to PVT Variations
Active-RC and Gm-C lters are quite sensitive to PVT varia-
tions because of poor matching between different types of ele-
ments (i.e., resistor, capacitor and gm-cell). However, switched-
capacitor lters are quite robust to PVT variations. Transfer
function and BW of SC lters are set by capacitor ratio, which
are normally implemented of thesamedevicetype(e.g.,MOS,
MiM, or MoM capacitor). Active-SC lters are very robust to
PVT, especially when parasitic capacitance cancellation tech-
niques (e.g., correlated double sampling) are used.
In the proposed passive SC lter, the effective is provided
by MoM type of capacitor and also parasitic capacitance of 8
MOS switches connected to it (see Fig. 11). In this design, 8%
to 26% of is the MOS parasitic capacitance, depending on a
value selection code. On the other hand, has also some
switches to select its value. In this design, MOS parasitic capac-
itance connected to ranges from 0.5% to 20%, depending
on the selection code. Being subject to the PVT variation,
MoM part of the effective and track each other very
well. Also, their common percentage part of the remaining MOS
parasitic capacitance matches well (they are of the same type).
2582 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 49, NO. 11, NOVEMBER 2014
Fig. 12. Implementation of the full-rate CR IIR7. The circuit has been implemented differentially while it has been shown single-ended here for simplicity.
The only part that could be affected by PVT variation is the dif-
ference between the MOS parasitic capacitance percentages of
and . Depending on the selected and codes, this
difference is limited to a few percent of the whole capacitance.
In this way, PVT variation effect is reduced, but still somewhat
higher than an active-SC lter.
IV. DESIGN AND IMPLEMENTATION
The proposed high-order charge-rotating DT lter consists of
a gm-cell, switches, capacitors and a clock waveform generator
circuit. Therefore, it is amenable to the digital deep nanoscale
CMOS technology. If we implement this lter in a ner process,
area of the capacitors, switches and the waveform generator re-
duces while preserving or improving the performance according
to Moore’s law of scaling.
A. Design of the 7th-Order Charge Rotating DT Filter
The nal design is implemented differentially while, for the
sake of simplicity, it is shown single-ended in Fig. 12. The
designed lter is software-controlled to operate in one of the two
modes: 1) charge-sampling or 2) voltage-sampling. Although in
the charge-sampling mode we have an active gm-cell, the l-
tering network is fully passive, making the overall lter semi-
passive. In the voltage-sampling mode, the gm-cell is bypassed
and disconnected from the power supply, resulting in a fully
passive lter. Also, is disconnected via “Mode Control”
Fig. 13. Inverter-based pseudo-differential gm-cell.
to prevent loading the input. The removal of lowers the l-
tering order by one to 6th.1In this mode, the input voltage (in-
stead of the input charge) is directly sampled by capacitors.
The simple inverter-based gm-cell (Fig. 13) makes the
lter amenable to process scaling. In this pseudo-differential
gm-cell, a bias voltage comes from a diode-connected
NMOS and mirrors a bias current into the gm-cell. Also, a
feedback circuit sets the common-mode output voltage to
by adjusting . Coupling capacitors and bias
resistors set a lower limit in frequency response. By using
large and , this limit is pulled down to a few kHz,
which is acceptable for most applications. As the gm-cell, a
simple invertor is used to be amenable to scaling and provide
1The 7th order of the lter in voltage-sampling mode can be kept by replacing
GND with a history capacitor at . However, we did not do this in the current
implementation.
TOHIDIAN et al.: ANALYSIS AND DESIGN OF A HIGH-ORDER DISCRETE-TIME PASSIVE IIR LOW-PASS FILTER 2583
Fig. 14. (a) Waveform generator circuit with (b) its output buffer.
a good linearity. By properly sizing NMOS and PMOS tran-
sistors, their nonlinearities could be canceled out perfectly for
square-law transistors [23]. However, in nanoscale CMOS, a
partial cancellation is carried out. We have used transistors
with a large channel length to make their behavior closer to
the square-law model. Moreover, a low resistance load by the
SC circuit allows a high IIP3.
The differential history capacitors range from
0.25 to 64 pF digitally selectable via 8 bits. For both his-
tory and sampling capacitors, we used MOM capacitors to
have a very good matching. This minimizes variations due to
PVT. Differential value of the sampling capacitors range
from 0.4 to 2.2 pF digitally selectable by 4 bits. Instead of
implementing differentially, we implement each as two
single-ended capacitors. Then we can set the common-mode
voltage of the lter by terminating to instead of
ground. To adjust the lter bandwidth, we keep xed and
change . In this way, both the gain and linearity of the circuit
do not change. Also, if the sampling frequency is changed,
we change inversely to keep the bandwidth and the gain
constant.
AsshowninFig.12,thelter’s switches are implemented
with transmission gates. We have chosen equal NMOS and
PMOS sizes to reduce charge injection and cancel out clock
feedthrough by at least an order of magnitude [24], [25], and
at the same time having a lower on-resistance .Tohave
alow ,low- transistors are chosen. These transistors
should be sized carefully to have a low enough for fast
settling on the sampling capacitors.
The waveform generator shown in Fig. 14(a), is a digital logic
block. It consists of eight D-ip-ops (DFFs). At power-on,
the DFFs are set/reset to “10000000”. Then, at each successive
clock cycle, the code is rotated one step. In this way, all the
required phases are generated from a reference clock. Outputs
of the DFFs are fed to buffer cells before driving the switches
(Fig. 14(b)). The buffer is able to drive the switches with sharp
rising and falling edges. The sizes of the NMOS and PMOS
transistors in the buffer are skewed to ensure non-overlapping
between consecutive phases.
Fig. 15. Chip micrograph of the full-rate CR IIR7 implemented in TSMC
65 nm CMOS. Die size is 1.2 1.27 mm.
B. Implementation
The lter has been implemented in TSMC 1P7M 65 nm
CMOS process. It operates at a 1.2 V power supply. The
gm-cell drains 250 A. The waveform generator unit and its
buffers clocked at a reference frequency of 800 MHz con-
sume 1.40 mA. The latter current consumption is proportional
to .Thelter has been veried to work properly up to
1GS/s.
As shown in Fig. 12, an analog multiplexer is added to allow
monitoring different outputs (orders) of the lter as well as the
internal on-chip input of the lter. After the multiplexer, an
output buffer isolates the chip internals from the outside. Fig. 15
shows a chip micrograph of the implemented lter.
V. M EASUREMENT RESULTS
To verify the proposed lter, a single-ended input signal is
converted to differential with a wideband transformer, termi-
nated with a 50 resistor on the PCB and then fed to the chip.
2584 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 49, NO. 11, NOVEMBER 2014
TAB L E I I
PERFORMANCE SUMMARY AND COMPARISON WITH THE STATE -OF-THE-ART
Differential output of the chip with zero-order-hold is converted
back to a single-ended signal with another transformer. Table II
summarizes the lter performance in its two operational modes,
including the effects of the suggested digital equalizer, and com-
pares with recent state-of-the-art lters.
The transfer function of the lter has been evaluated using an
HP8753E network analyzer. To lower the measurement noise
oor, a wideband RF amplier (HP8347A) was used. Fig. 16(a)
plots the measured frequency response of the lter in the charge-
sampling mode at the 7th-order output for different bandwidth
settings. The 3 dB bandwidth is programmable from 400 kHz
to 30 MHz. By applying a digital equalizer to map the transfer
function to a 5th-order Butterworth, the overall 3 dB bandwidth
would be tunable from 0.82 to 61 MHz. As an example, the
overall transfer function including the equalizer is plotted with
the black dashed line in Fig. 16(a). A maximum 100 dB of
stop-band rejection is measured for the narrowest bandwidth
setting. Depicted in Fig. 16(b) is the measured transfer func-
tion of the lter in the charge-sampling mode, but now for dif-
ferent outputs (orders). In this measurement, the 400 kHz analog
bandwidth setting is used. The measured 7th-order output is also
compared with the ideal mathematical transfer function shown
by the black dashed line, indicating a very good agreement with
theory. The transfer function of the lter in the voltage-sampling
mode is similar to that shown in Fig. 16 except that the ltering
order is 6th.
To evaluate the linearity of the lter, a two-tone signal is fed
to the lter and its output is evaluated by an Agilent E4446A
spectrum analyzer. For this test, the analog bandwidth is set to
about 9 MHz. Fig. 17 shows the measured 2nd- and 3rd-order in-
termodulation products versus the input power for both charge-
sampling and voltage-sampling modes. Measured IIP2 and IIP3
(with respect to 50 )are 55 dBm and 21 dBm in charge-
sampling mode, and 61 dBm and 24 dBm in voltage-sam-
pling mode. In the charge-sampling mode, where the linearity is
limited by the gm-cell, IIP3 might be lowered by a few dBs in
practice, caused by PVT variations. As listed in Table II, IIP3/
IIP2 in the charge-sampling mode is among the best. Thanks
to the fully passive operation, the lter in the voltage-sampling
mode has an exceptionally high IIP3/IIP2.
To be able to fairly compare the 1 dB compression point
of our lter in its two operational modes to other lters with
TOHIDIAN et al.: ANALYSIS AND DESIGN OF A HIGH-ORDER DISCRETE-TIME PASSIVE IIR LOW-PASS FILTER 2585
Fig. 16. Measured transfer function of the CR IIR7 for (a) the 7th-order output
with different BW settings, and (b) for different orders in 400 kHz BW setting.
The lter is in charge-sampling mode clocked at 800 MHz.
various gains, we compare the output compression point as
. The measured output com-
pression point of the lter in the charge-sampling mode is
10 dBm. In the voltage-sampling mode, this value goes to
13 dBm. These are outstanding values compared to the other
works listed in Table II.
The noise of the lter is evaluated by the spectrum analyzer.
For this measurement, the input of the lter is grounded. A
two-step experiment is carried out: 1) measuring the total output
noise (including noise of the lter and output buffer), 2) dis-
abling the lter and measuring the noise of only the output
buffer. Then, since the noise of the buffer and the lter are un-
correlated, the lter noise is calculated by subtracting the total
noise power spectral density (PSD) and the buffer noise PSD.
Fig. 18(a) shows the measured input-referred noise (IRN)
spectral density of the lter in the charge-sampling mode for
the 9 MHz setting ( 0.75 pF, 2.35 pF).
The slope below 1 MHz is due to the icker and bias noise
of the gm-cell. Noise between 1 MHz and 20 MHz is mainly
the thermal noise of the gm-cell shaped by the lter transfer
function, and the rest is dominantly noise of the switched-ca-
pacitor circuit. The averaged spot noise over the bandwidth is
4.57 nV/ Hz. Integrated noise, , from 50 kHz to 9 MHz is
13.6 , which increases to 16.4 for the entire fre-
quency range. This gives a 71 dB spurious-free dynamic range
(SFDR) as dened in [26]. As measured by a single-tone test,
Fig. 17. In-band IIP2 and IIP3 measurement of the CR IIR7 lter in (a) charge-
sampling and (b) voltage-sampling modes.
Fig. 18. Measured input-referred noise of the lter in (a) charge-sampling and
(b) voltage-sampling mode.
a3.5 dBm input signal (422 mV peak-to-peak differential)
creates 40 dB 3rd-harmonic distortion (HD3) at the output,
2586 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 49, NO. 11, NOVEMBER 2014
giving an 81 dB dynamic range (1% HD3 DR). By applying
the digital equalizer to map the analog transfer function to a
5th-order Butterworth, the equivalent IRN of the total lter in-
creases to 4.72 nV/ Hz, resulting in 68 dB SFDR for 18 MHz
.
Measured input-referred noise of the lter in the voltage-
sampling mode for the 3.1 MHz (0.75 pF,
8.25 pF) is illustrated in Fig. 18(b). In this mode, the
whole noise spectrum is due to the switched-capacitor network.
The spot IRN averaged over the bandwidth is 4.97 nV/ Hz.
The integrated IRN over the bandwidth is 8.6 and rises
to 22.2 for the entire frequency range. This results in
75 dB SFDR. As measured, a single tone input signal as large
as 8.8 dBm (1.75 V peak-to-peak differential) creates 1% HD3
in this mode. This results in 97 dB dynamic range. By map-
ping the 6th-order real-pole transfer function of this lter to a
4th-orther Butterworth using the equalizer, the overall IRN of
the lter rises to 7.27 nV/ Hz giving 71 dB SFDR for 5.4 MHz
.
Measured clock feedthrough at the output of this lter is less
than 110 dBm at 100 MHz. This very low value
avoids any noise and spur problems caused by the clock signal.
VI. CONCLUSION
In this paper, a high-order discrete-time (DT) charge rotating
(CR) IIR lter structure is proposed and experimentally veried.
The implemented 7th-order charge-sampling/6th-order voltage-
sampling DT lter is elaborated in detail. The order of this lter
is easily extendable to even higher orders. Using a pipelining
techniques, sample rate of the lter is increased up to 1 GS/s.
The CR lter is process-scalable according to Moore’s law and
friendly to digital nanoscale CMOS technology. Transfer func-
tion of this lter is precise and robust to PVT variations. Even
though the CR lter features real poles, modern system appli-
cations, such as wireless receivers, could expend digital post-
processing to equalize the droop at the pass-band edge of the
transfer function. Its state-of-the-art performance includes: very
low power consumption, the lowest input-referred noise, very
wide tuning range and excellent linearity.
ACKNOWLEDGMENT
The authors would like to thank Reza Lot,S.Amir-Reza
Ahmadi-Mehr, Masoud Babaie, S. Morteza Alavi, and Wanghua
Wu for helpful discussions.
REFERENCES
[1] K. Muhammad et al., “A discrete-time Bluetooth receiver in a 0.13 m
digital CMOS process,” in IEEE Int. Solid-State Circuits Conf. Dig.
Tech. Papers, 2004, vol. 527, pp. 268–269.
[2] R. Bagheri et al., “An 800-MHz–6-GHz software-dened wireless re-
ceiver in 90-nm CMOS,” IEEE J. Solid-State Circuits, vol. 41, no. 12,
pp. 2860–2876, Dec. 2006.
[3] K. Muhammad et al.,“Therstfully integrated quad-band GSM/GPRS
receiver in a 90 nm digital CMOS process,” IEEE J. Solid-State Cir-
cuits, vol. 41, no. 8, pp. 1772–1783, Aug. 2006.
[4] A.Geis,J.Ryckaert,J.Borremans,G.Vandersteen,Y.Rolain,andJ.
Craninckx, “A compact low power SDR receiver with 0.5–20 MHz
baseband sampled lter,” in IEEE Radio Frequency Integrated Circuits
Symp. Dig., 2009, pp. 285–288.
[5] A. Geis, J. Ryckaert, L. Bos, G. Vandersteen, Y. Rolain, and J. Cran-
inckx, “A 0.5 mm power-scalable 0.5–3.8-GHz CMOS DT-SDR re-
ceiver with 2nd-order RF band-pass sampler,” IEEE J. Solid-State Cir-
cuits, vol. 45, no. 11, pp. 2375–2387, Nov. 2010.
[6]M.Tohidian,I.Madadi,andR.B. Staszewski, “A fully integrated
highly recongurable discrete-time superheterodyne receive r,” in IEEE
Int. Solid-State Circuits Conf. Dig. Tech. Papers, 2014, pp. 72–73.
[7] Y.-C. Ho, R. B. Staszewski, K. Muhammad, C.-M. Hung, D. Leipold,
and K. Maggio, “Charge-domain signal processing of direct RF sam-
pling mixer with discrete-time lters in Bluetooth and GSM receivers,”
EURASIP J. Wireless Commun. Netw., vol. 2006, pp. 1–14, 2006.
[8] S. Kiriaki et al., “A 160-MHz analog equalizer for magnetic disk read
channels,” IEEE J. Solid-State Circuits, vol. 32, no. 11, pp. 1839–1850,
Nov. 1997.
[9] G.T.UeharaandP.R.Gray,“A100MHzA/DinterfaceforPRML
magnetic disk read channels,” IEEE J. Solid-State Circuits, vol. 29, no.
12, pp. 1606–1613, Dec. 1994.
[10] S.-S. Lee and C. A. Laber, “A BiCMOS continuo us-time lter for video
signal processing applications,” IEEE J. Solid-State Circuits, vol. 33,
no. 9, pp. 1373–1382, Sep. 1998.
[11] F. Yang and C. C. Enz, “A low-distortion BiCMOS seventh-order
Bessel lter operating at 2.5 V supply,” IEEE J. Solid-State Circuits,
vol. 31, no. 3, pp. 321–330, Mar. 1996.
[12] S. D’Amico, M. Conta, and A. Baschirotto, “A 4.1-mW 10-MHz
fourth-order source-follower-based continuous-time lter with 79-dB
DR,” IEEE J. Solid-State Circuits, vol. 41, pp. 2713–2719, Dec. 2006.
[13] T.-Y. Lo, C.-C. Hung, and M. Ismail, “A wide tuning range G -C lter
for multi-mode CMOS direct-conversion wireless receivers,” IEEE J.
Solid-State Circuits, vol. 44, no. 9, pp. 2515–2524, Sep. 2009.
[14] A. Pirola, A. Liscidini, and R. Castello, “Current-mode, WCDMA
channel lter with in-band noise shaping,” IEEE J. Solid-State Cir-
cuits, vol. 45, no. 9, pp. 1770–1780, Sep. 2010.
[15] M. S. Oskooei, N. Masoumi, M. Kamarei, and H. Sjoland, “A CMOS
4.35-mW +22-dBm IIP3 continuously tunable channel select lter for
WLAN/WiMAX receivers,” IEEE J. Solid-State Circuits, vol. 46, no.
6, pp. 1382–1391, Jun. 2011.
[16] A. Vasilopoulos, G. Vitzilaios, G. Theodoratos, and Y. Papananos, “A
low-power wideband recongurable integrated active-RC lter with 73
dB SFDR,” IEEE J. Solid-State Circuits, vol. 41, no. 9, pp. 1997–2008,
Sep. 2006.
[17] S. Kousai, M. Hamada, R. Ito, and T. Itakura, “A 19.7 MHz, fth
order active-RC Chebyshev LPF for draft IEEE802.11n with automatic
quality-factor tuning scheme,” IEEE J. S olid-State Circuits,vol. 42, no.
11, pp. 2326–2337, Nov. 2007.
[18] M. Tohidian, I. Madadi, and R. B. Staszewski, “A 2 mW 800 MS/s
7th-order discrete-time IIR lter with 400 kHz-to-30 MHz BW and
100dBstop-bandrejectionin65nmCMOS,”inIEEE Int. Solid-State
Circuits Conf. Dig. Tech. Papers, 2013, pp. 174–175.
[19] M. Ghaderi, J. A. Nossek, and G. C. Temes, “Narrow-band switched-
capacitor bandpass lters,” IEEE Trans. Circuits Syst.,vol.CAS-29,
no. 8, pp. 557–572, 1982.
[20] R. Winoto and B. Nikolic, “Discrete Time Processing of RF Signals,”
in Multi-Mode/Multi-Band RF Transceivers for Wireless Communi-
cations: Advanced Techniques, Architectures, and Trends, G. Hueber
and R. B. Staszewski, Eds. New York, NY, USA: Wiley-IEEE Press,
2011, pp. 219–245.
[21] J. Yuan, “A charge sampling mixer with embedded lter function for
wireless applications,” in Proc. 2nd Int. Conf. Microwave and Mil-
limeter Wave Technology, Beijing, China, 2000, pp. 315–318.
[22] Y. Poberezhskiy and G. Poberezhskiy, “Sampling and signal recon-
struction circuits performing internal anti-aliasing ltering and their in-
uence on the design of digital receivers and transmitters,” IEEE Trans.
Circuits Syst. I, vol. 51, no. 1, pp. 118–129, Jan. 2004.
[23] B. Nauta, “A CMOS transconductance-C lter technique for very high
frequencies,” IEEE J. Solid-State Circuits, vol. 27, no. 2, pp. 142–153,
Feb. 1992.
[24] R. Gregorian and G. C. Temes, Analog MOS Integrated Circuits for
Signal Processing. New York, NY, USA: Wiley, 1986.
[25] B. Razavi, Design of Analog CMOS Integrated Circuits.NewYork,
NY, USA: McGraw-Hill, 2001.
[26] B. Razavi, RF Microelectronic Circuits. Englewood Cliffs, NJ, USA:
Prentice Hall, 1997.
TOHIDIAN et al.: ANALYSIS AND DESIGN OF A HIGH-ORDER DISCRETE-TIME PASSIVE IIR LOW-PASS FILTER 2587
Massoud Tohidian (S’08) received the B.S. and
M.S. degrees in electrical engineering (with honors)
from Ferdowsi University of Mashhad and the
University of Tehran, Iran, in 2007 and 2010, re-
spectively. He is currently pursuing the Ph.D. degree
at Delft University of Technology, The Netherlands.
He was a researcher in IMEP-LAHC Laboratory,
Grenoble, France, in 2009–2010. He was a consultant
at M4S/Hisilicon, Leuven, Belgium, in 2013–2014,
designing a 28 nm SAW-less receiver chip for mobile
phones. His research interest includes analog and RF
integrated circuits and systems for wireless communications. He holds seven
patents and patent applications in the eld of RF-CMOS design.
Iman Madadi (S’08) received the B.S.E.E. degree
from K. N. Toosi University of Technology, Tehran,
Iran, in 2007, and the M.S.E.E. degree from the Uni-
versity of Tehran, Tehran, Iran, in 2010. He is cur-
rently working toward the Ph.D. at Delft University
of Technology, The Netherlands.
He was a consultant at M4S/Hisilicon, Leuven,
Belgium, in 2013–2014, designing a 28 nm
SAW-less receiver chip for mobile phones. His
research interests include analog and RF IC design
for wireless communications. He holds six patents
and patent applications in the eld of RF-CMOS design.
Robert Bogdan Staszewski (F’09) received the
B.S.E.E. (summa cum laude), M.S.E.E., and Ph.D.
degrees from the University of Texas at Dallas, TX,
USA, in 1991, 1992, and 2002, respectively.
From 1991 to 1995 he was with Alcatel Network
Systems in Richardson, TX, USA, working on
SONET cross-connect systems for ber optics
communications. He joined Texas Instruments,
Dallas, TX, USA, in 1995, where he was elected
Distinguished Member of Technical Staff. Between
1995 and 1999, he was engaged in advanced CMOS
read channel development for hard disk drives. In 1999, he co-started a Digital
RF Processor (DRP™) group within Texas Instruments with a mission to invent
new digitally intensive approaches to traditional RF functions for integrated
radios in deeply-scaled CMOS processes. He was appointed a CTO of the
DRP group between 2007 and 2009. In July 2009 he joined Delft University
of Technology, The Netherlands, where he is a Professor. He has authored
and co-authored one book, three book chapters, 170 journal and conference
publications, and holds 130 issued US patents. His research interests include
nanoscale CMOS architectures and circuits for frequency synthesizers, trans-
mitters, and receivers.
Prof. Staszewski has been a TPC member of ISSCC, RFIC, ESSCIRC, and
RFIT. He is an IEEE Fellow and a recipient of IEEE Circuits and Systems In-
dustrial Pioneer Award.
... Either using active voltage sampling [7][8][9], or passive sampling implementations [2][3][4][5], their applications were initially limited to low frequencies until the 2000's. With the increased technology scaling and integration, these circuits quickly migrated to radiofrequency (RF) communications, achieving a much higher frequency range [10][11][12][13][14][15][16][17][18][19][20][21][22][23][24][25][26][27][28]. ...
... A CS version of the IIR filter is implemented in Fig. 6a, [24,[48][49][50][51]. In the circuit, the current i in is integrated over C S during T s creating a charge packet q in [n] defined by (3). ...
Article
Full-text available
Technology scaling greatly benefits discrete-time and N-path filters, making them perfect for Software-Defined Radio and wireless Standards designs. Both strategies enable wide-band and narrowband solutions, high selectivity and easy programmability with low power and high linearity. This paper presents a review of discrete-time and N-path based receivers. Their architecture similarities are examined, focusing on discrete-time receiver building blocks whose features and advances are detailed. An extensive literature review of the State-of-the-Art on these strategies is conducted.
... The integrator in the delta-sigma ADC is generally known as the main factor of power consumption. To reduce the power consumption, a hybrid passive-active structure is used here, i.e., the 1st integrator is designed with passive integrator and the second integrator is designed with active integrator [45], [46], [47], and [48]. The active integrator employs the DA-OSA technique to reduce the static power. ...
Article
Full-text available
Micro-electromechanical systems (MEMS) capacitive accelerometer for the Internet of Things (IoT) applications is required to operate at various sampling frequencies while keeping high power efficiency. To meet this requirement, dynamic amplifiers are used in the readout circuit of the accelerometer. However, the dynamic amplifier’s open-loop gain is low and varies with the sampling frequency of the readout circuit. This significantly deteriorates the gain accuracy of the readout circuit. In this article, the dynamic-amplifier-specific oversampling successive approximation (DA-OSA) readout technique is proposed to overcome this gain variation problem and make the dynamic amplifier applicable to the readout circuit. The DA-OSA-based readout circuit is fabricated in a commercial 0.18- $\mu $ m 1.8-V CMOS process and tested with the MEMS accelerometer sensing element. The measurement results show that, with the sampling frequency varying from 10 kHz to 1 MHz, the proposed readout circuit achieves a variable signal bandwidth from 100 Hz to 10 kHz, a variable power consumption from $5.4$ to $\mathrm{216}\mu \mathrm{W}$ , and a low gain variation of 1.2%.
... The actual circuit is fully differential but only single-end schematic is shown here for simplicity. The structure is a 2 ndorder discrete-time delta-sigma ADC which employs passive integrator to achieve low power consumption [49], [50], [51], [52]. The passive integrator uses a five-stage parallel-to-series passive gain stage (PS-5). ...
Article
Full-text available
MEMS capacitive accelerometer for the Internet of Things (IoT) applications is designed with open-loop structure rather than close-loop structure to achieve low power consumption. In the open-loop structure, voltage control readout technique is preferred for low cost. However, voltage control readout technique suffers from poor noise performance and low power efficiency (in terms of $\mathrm{FoM})$ . In this paper, the weak feedback oversampling successive approximation readout circuit which merges the closed-loop hybrid dynamic amplifier with noise reduction technique is proposed to achieve low noise floor, high power efficiency and high accuracy. The proposed WFB-OSA based readout circuit is fabricated in a commercial 0.18 $\mu $ m 1.8V CMOS process. The measurement result shows that the circuit has achieved a noise floor of $63\mu g/\surd Hz$ and an FoM of $\mathrm{14pJ}$ .
Article
An innovative, highly reconfigurable charge-domain analog finite-impulse-response (AFIR) filter for high-channel selectivity receivers is presented. This filter demonstrates excellent reconfigurability to different bandwidths and desired stopband rejection and realizes the coefficients in the charge-domain with time-varying pulse widths controlling the on-time of the transconductor. The charge-domain finite impulse response (FIR) principle is derived step by step in this letter. The proposed filter, manufactured in 28-nm CMOS process, occupies a compact area of 0.05 mm 2, and its bandwidth can be reconfigured from 0.37 to 4.6 MHz. The filter can achieve −70-dB stopband rejection with a sharp transition ( $-f_{-60 {\mathrm {dB}}}^{/f}-3~ {\mathrm {dB}}\,\,=$ 4.5) and low-power consumption of 0.356 mW.
Article
The filtering-by-aliasing (FA) receivers have demonstrated sharp analog finite impulse response (FIR) filtering by combining periodically time-varying (PTV) circuit elements with uniform sampling. Seen at the sampled output, the impulse response of an FA receiver can be controlled by the PTV resistor’s resistance that varies over time, which realizes very sharp filtering with 50–70-dB stopband rejection. However, the finite stopband rejection and the inherent sampling in FA result in unwanted in-band residual blocker aliases, which cannot be suppressed further by downstream linear time-invariant (LTI) stages, unlike in a conventional receiver, and the overall blocker rejection may be insufficient in some applications. This paper describes a digital residual alias cancellation technique tailored for the FA receivers. By using a second channel to capture the blocker and equalizing the blocker in the FA and the second channels with digital baseband filters, measurement results, with the cancellation algorithm implemented in MATLAB, show that the residual blocker aliases can be suppressed by another $\sim$ 15 dB, in addition to the FA analog filtering.
Article
This paper presents a low-power high-accuracy key-word spotting (KWS) system based on analog passive switched-capacitor (SC) bandpass filters (BPF). The proposed system inno-vatively extracts the Mel-frequency cepstrum coefficient (MFCC) features with all-analog circuits, providing a better spotting performance than the present analog short-time amplitude or energy features under the same condition. At the circuit level, the analog-MFCC extraction includes the low-noise amplifier, BPF, squarer, integrator, and discrete cosine transformer. And thanks to the effective analog-MFCC features, the size of the fully-connected neural network (FCNN) classifier in our KWS system is enormously reduced. A high-order and fully-differential BPF is also proposed, achieving ultra-low power and high dynamic range by combining zero and pole generation stages rather than building stages separately in traditional ways. Fabricated in 0.18- $\mu$ m CMOS, our filterbank of eight BPFs is measured with a power consumption of 83.2 nW, with a 69.7 dB dynamic range at 5% THD, having advantages over other SC BPFs with similar functions. The total power consumption of our feature extractor is 661.7 nW, achieving an accuracy of 96.6% in two-keyword spotting by an FPGA-based, 15k bit parameter FCNN with a 9.6 $\mu$ s latency.
Article
This paper presents a 13-tap FIR filter and an IIR filter embedded in a 10-bit SAR ADC for wireless communications chip. The IIR filter can be inherently realized through reusing the capacitor array of the SAR ADC, thus improving the stopband suppression and shaping the transition band. Besides, the DC attenuation is also avoided. The sampling rate loss of the SAR ADC can be compensated by the 4 $\times$ time-interleaving technology. The proposed filter features high power-efficient, linearity and process compatibility. Compared with a 15-tap FIR filter, the out-of-band suppression at the cut-off frequency (OOBS@ $f_{\mathrm{cut-off}})$ is enhanced by 9dB theoretically. A prototype FIR/IIR filter in 40nm CMOS occupies an active area of 0.067mm $^{2}$ , consumes 38 $\mu $ W at a single supply of 1.1V, has a 1-MHz bandwidth, obtains $>$ 42.2dB OOBS@5.9MHz when operated at 40MS/s. Meanwhile, the SAR ADC without/with the proposed filter can achieve a FoM $_{\mathrm{w}}$ of 7.91 fJ/conversion-step and 13.5 fJ/conversion-step, respectively.
Article
This research presents a sampling technique for Fourier convolution theorem (FCT) based k‐space filtering. One polynomial function and three transfer functions were selected: 1. Gaussian, 2. Bessel, 3. Butterworth, and 4. Chebyshev. The functions were sampled on the image grid, and they are called filtering functions. Each filtering function was multiplied by the Sinc function to obtain the ‘Sinc‐shaped convolving function’. The k‐space of the Sinc‐shaped convolving function is calculated by direct Fourier transform and it is featured by a central region. This central region of k‐space is rectangular in its shape because it is consequential to the direct Fourier transform of the product between the filtering function and the Sinc function. Low pass and high pass filtering are obtained by inverse Fourier transform of the pointwise multiplication between the k‐space of the departing image and the k‐space of the Sinc‐shaped convolving function. A variety of cut‐off frequencies, bandwidth, sampling rate, and number of poles of the filters were verified as effective to filter the images. Filtering strength can be modified by fine‐tuning the size of the central rectangular k‐space region of the Sinc‐shaped convolving functions. K‐space analysis of departing images and filtered images provide additional evidence of effective filtering. Moreover, k‐space filtering was compared to Z‐space filtering using the extension of the FCT to Z‐space. The novelty of this research is the sampling technique used to determine the Sinc‐shaped convolving function. The sampling technique uses fine‐tuning of bandwidth and sampling rate to determine the strength of the k‐space filter. This article is protected by copyright. All rights reserved.
Chapter
In order to develop multiband cellular radios at low cost, the rekindled on-chip N-path switched-capacitor filter is a promising replacement of the off-chip SAW (surface acoustic wave) filters, due to its property of high-Q filtering over a wide RF (radiofrequency) range. This chapter discusses the design of two SAW-less RF front-ends for TDD (time-division duplexing) and FDD (frequency division duplexing). The first is an area-efficient SAW-less wireless transceiver for multiband TDD that utilizes an N-path switched-capacitor gain loop. Fabricated in 65-nm CMOS, the transmitter mode reaches a −1-dBm output power with a −40-dBc ACLREUTRA1 at 1.88 GHz and a −154.5-dBc/Hz OB noise at 80-MHz offset; the receiver mode reaches 3.2-dB NF and +8-dBm OB-IIP3. The second is a fully integrated multiband FDD SAW-less transmitter for 5G-NR in 28-nm CMOS. It features a bandwidth-extended N-path filter modulator to enable both wide bandwidth and high-Q bandpass filtering, also including an isolated baseband (BB) input network and a transimpedance amplifier-based power amplifier driver. The transmitter manifests a 20-MHz passband bandwidth and a low OB noise (≤ −157.5 dBc/Hz) between 1.4 and 2.7 GHz. Under 3-dBm output power, it exhibits high efficiency (2.8–3.6%) and linearity (ACLR1 <44 dBc and EVM <2%). This chapter presents both designs in detail.
Article
Full-text available
A third-order channel selection filter for multi-mode direct-conversion receivers is presented. The filter is designed with a Butterworth prototype and with the target wireless applications of Bluetooth, cdma2000, wideband CDMA, and IEEE 802.11a/b/g/n wireless LANs. Linear-region MOS transistors are used to perform voltage-to-current conversion. The wide tuning range is achieved by the current multipliers and linear voltage-to-current converters. Implemented in the TSMC 0.18 mum CMOS process, the measurement results show that the filter can operate successfully over a cutoff frequency range of 500 kHz to 20 MHz, and is compliant with the requirements of different wireless applications. The power consumption is 4.1 mW to 11.1 mW for minimum and maximum cutoff frequencies respectively from a 1.2 V supply voltage. The circuit performance compares favorably with previously reported works.
Article
Full-text available
A novel class of filters (called pipe filters) that features in-band noise reduction is presented and a current mode biquad cell based on cross-connected cascoded devices is introduced. The presented solution gives in-band high-pass noise shaping and passive pre-filtering of out-of-band blockers. This results in both low in-band noise and high out-of-band IIP3. A 4th-order lowpass prototype in 90 nm CMOS for WCDMA application features 32 μW in-band noise (when integrated over the 2 MHz bandwidth as defined by the standard) and +36 dBm out-of-band IIP3 which results in a 75 dB SFDR with 1.25 mW power consumption. Active die area is 0.5 mm<sup>2</sup>.
Chapter
Signal processing in a radio-frequency (RF) receiver consists of three fundamental elements: frequency translation, filtering, and amplification. These three elements are necessary in order to precondition the RF signal so that efficient analog-to-digital conversion of the signal desired can follow. This chapter argues that metal-oxide-semiconductor (MOS) switches have benefited significantly from complementary metal-oxide semiconductor (CMOS) scaling. It examines operation of a single-balanced current-switching mixer with a single-ended output. The chapter reviews the general framework for constructing discrete-time filters using passive switched-capacitor circuits. There are two types of discrete-time filters, infinite impulse response (IIR) and finite impulse response (FIR) filters. Noise in a passive switched-capacitor filter originates from the thermal noise within each of the constituent MOS switches. The chapter focuses on modeling the various nonidealities of the input transconductor and analyzing their impact on the overall filter transfer function.Controlled Vocabulary Termsfiltering theory; finite impulse response filters; radio frequency; thermal noise; transistors
Conference Paper
Since the invention of radio, superheterodyne has been the architecture of choice for receivers (RX). Thanks to its high intermediate-frequency (IF), the problems related to flicker noise, time-varying dc offsets, in-band LO leakage and sensitivity to 2nd-order intermodulation are simply avoided. Unfortunately, the high IF requires high-quality-factor (Q) band-pass filters for image rejection, which cannot be easily integrated in CMOS. This forced the CMOS receivers to migrate to zero (or low) IF and suffer from the abovementioned problems. Recently, there have been attempts to revisit the high IF operation by exploiting N-path filtering [1] and a combination of a discrete-time (DT) band-pass charge-sharing filtering with feedback filtering [2]. Here, we propose a superheterodyne RX architecture with full DT operation using only gm stages, switches and capacitors. The transfer function is accurate and controlled by the clock frequency and precise capacitor ratios.
Conference Paper
Filters are key building blocks in wireless communication and analog signal processing. Typically, Gm-C and active-RC topologies are being used for this purpose. However, reduced supply voltage and lower transistor output impedance make it difficult to implement high-gain wide-bandwidth opamps in a power-efficient manner. Moreover, portable wireless communication devices demand nowadays ever decreasing power consumption, and more tunability/reprogrammability. A discrete-time (DT) analog signal processing approach appears to answer these requirements.
Article
Theoretical and practical aspects of analog MOS integrated circuits are discussed. The basic properties of these circuits are described, providing necessary background material in mathematics and semiconductor device physics and technology. The operation and design of such important circuits as switched-capacitor filters, analog-to-digital and digital-to-analog converters, amplifiers, modulators, and oscillators. Practical problems encountered in design are discussed, solutions are provided, and some examples of actual system applications are given.
Conference Paper
This paper presents a low-power flexible receiver chain in digital 90 nm CMOS for multimode mobile communication. The receiver is based on a wideband front-end for a frequency range from 200 MHz to 5.5 GHz. A low-pass sampler with strong second order anti-alias protection based on triangular-wave integration sampling is employed in the baseband to provide a clock tunable flexible baseband bandwidth ranging from 500 kHz to 20 MHz. The cascaded receiver chain has between 5.5 to 6 dB NF, -0.9 dBm IIP3 and 20 to 65 dB of gain. It draws 14.5 mA from a 1.2 V supply.
Article
A low-power high linearity CMOS G<sub>m</sub>-C channel select filter for WLAN/WiMAX receivers in 90-nm CMOS technology is presented. To reduce power consumption a biquad cell with simple architecture is used. A simple but efficient technique is also proposed to improve the linearity of the filter without increasing its power consumption. Coarse and fine tuning techniques are used to tune the cutoff frequency of the sixth-order Butterworth low-pass filter from 8.1 MHz to 13.5 MHz suitable for WLAN and WiMAX applications. The measurement results show an in-band IIP3 of + 22 dBm, an HD3 better than - 40 dB at 470 mV<sub>P</sub> input signal amplitude, and an input referred noise of 75 nV/√Hz at a power consumption of 4.35 mW from a 1-V supply. The differential filter occupies a chip area of 0.239 mm<sup>2</sup> excluding pads.
Article
A highly flexible receiver chain based on RF-sampling and discrete-time signal processing in the charge domain for SDR applications is presented. A compact switched-inductor variable-gain front-end provides multiband low noise amplification and RF-selectivity with reduced area penalties. Strong selectivity at RF was obtained through a novel discrete-time decimating bandpass filter with triangular weighted filter taps. Decimation filters with programmable number of taps offer flexible rate decimation. A power scalable discrete-time baseband filter was implemented in-order to minimize static power consumption. The 90-nm digital CMOS implementation achieves a noise figure of 5.1 dB, a variable gain range of more than 60 dB with +1 dBm IIP3 and +50 dBm IIP2. This is achieved for power figures competitive with dedicated solutions. The receiver, frequency synthesizer excluded, occupies only 0.5 mm<sup>2</sup> .