ArticlePDF Available

Seedless electroplating on patterned silicon

Authors:

Abstract and Figures

Nickel thin films have been electrodeposited without the use of an additional seed layer, on highly doped silicon wafers. These substrates conduct sufficiently well to allow deposition using a peripherical electrical contact on the wafer. Films 2 µm thick have been deposited using a nickel sulfamate bath on both n+-and p+-type silicon wafers, where a series of trenches with different widths had been previously etched by plasma etching. A new, reliable and simple procedure based on the removal of the native oxide layer is presented which allows uniform plating of patterned substrates.
Content may be subject to copyright.
TB, AR, JMM/213466, 20/01/2006
INSTITUTE OF PHYSICS PUBLISHING JOURNAL OF MICROMECHANICS AND MICROENGINEERING
J. Micromech. Microeng. 16 (2006) 1–6 doi:10.1088/0960-1317/16/0/000
Seedless electroplating on patterned
silicon
L D Vargas Llona, H V Jansen and M C Elwenspoek
Transducer Science and Technology, MESA+Research Institute, University of Twente,
PO Box 217, 7500 AE Enschede, The Netherlands
E-mail: l.d.vargasllona@ewi.utwente.nl
Received 29 November 2005
Published DD MMM 2006
Online at stacks.iop.org/JMM/16/1
Abstract
Nickel thin films have been electrodeposited without the use of an additional
seed layer, on highly doped silicon wafers. These substrates conduct
sufficiently well to allow deposition using a peripherical electrical contact on
the wafer. Films 2 µm thick have been deposited using a nickel sulfamate
bath on both n+- and p+-type silicon wafers, where a series of trenches with
different widths had been previously etched by plasma etching. A new,
reliable and simple procedure based on the removal of the native oxide layer
is presented which allows uniform plating of patterned substrates.
1. Introduction
The relatively inexpensive equipment together with the
possibility of obtaining excellent via/trench filling properties
[1] is the major advantage of electrodeposition over other
methods of thin film production. However, electrodeposition
has one feature that can be a major disadvantage, namely the
need of a conducting substrate or a conducting seed layer
previously sputtered or evaporated on top of the substrate.
The possibility of electroplating directly on semiconducting
silicon substrates relieves the need of this seed layer
making the process simpler. This has direct consequences
in microelectromechanical systems (MEMS). For example,
in three-dimensional engineering, a (highly doped) silicon
wafer can be processed using conventional micromachining
techniques such as KOH wet chemical etching and SF6/C4F8-
based dry plasma etching. Subsequently, the silicon structure
can be uniformly coated with nickel, without any further
deposition steps. This process leaves the silicon device
completely covered with a nickel film, making it more
corrosion resistant in harsh environments or when in contact
with aggressive fluids.
Although electroplating is typically carried out on a metal
seed layer, deposition on silicon is not new and it has been
reported a few times for different metals and metal alloys
[2,3]. In the following sections, a new procedure to
electroplate nickel directly onto highly doped silicon wafers
will be presented. These wafers have high aspect ratio
structures and the electrical contact to them is made by a
circular flexible contact on top, at the edge of the wafer, instead
of a backplate.
2. Experimental setup
2.1. Description of the system
A conventional 8 l sulfamate bath1, containing 300 g l1
of nickel sulfamate together with nickel chloride and boric
acid, is used for the electroplating of nickel. The solution
is agitated, continuously filtered and kept at a temperature
around 55 C during plating. The anode is an insoluble Ti
mesh coated with Pt, and the cathode is the wafer that should
be electroplated. In this cathode, electrical contact is made
to the wafer by a peripherical contact. An additional anode
probe can be inserted in the electrolyte to apply a potential
difference between the wafer and the bath (figure 1).
For micromechanical devices, it is very important to
obtain films with low stress values and low roughness. Both
properties depend on operating variables such as temperature
and current density. Stress and roughness values have
been optimized for microelectromechanical system (MEMS)
applications with respect to bath parameters using 4 silicon
wafers with a conducting layer on top. This conducting layer
was made by evaporating a 10 nm thick Cr layer and a 100 nm
thick Au layer. After evaporation the wafer is scanned in two
perpendicular directions with a surface profilometer in order
to get the deflection of the wafer prior to nickel deposition.
A9µm thick nickel film is electroplated and the wafer is
scanned again. The deflection difference is then related to
the stress using Stoney’s equation [4]. This experiment was
repeated for current densities (J) from 2 to 7 A dm2at
1ECSI Fibrotools, model IKO, Electrochemical Systems Inc., 96 Ford Road
Denville, NJ 07834, USA (www.fibrotools.com).
0960-1317/06/000001+06$30.00 © 2006 IOP Publishing Ltd Printed in the UK 1
L D Vargas Llona et al
Table 1. Bath optimization for MEMS. Stress is given in MPa and the roughness is given by the appearance of the wafer, varying from +++
very shiny to - -- very dull.
2Adm
23Adm
24Adm
25Adm
26Adm
27Adm
2
40 C50/+40/o20/-0/-- 20/-- 50/---
45 C30/++ 50/+++ 60/+30/+10/-10/-
55 C10/+0/++ 10/+++ 10/+++ 10/++ 10/++
60 C20/o20/o10/o0/+0/++ 10/++
Table 2. Values of the resistivity (ρ), the sheet resistance (R=ρ/t) and the wafer ‘through’ resistance (R=ρt/A, with A=0.66 dm2
in our case) as a function of thickness (t) and material.
Pnp
+N+Au Cr Au/Cr/pSiO
2
t(µm) 525 525 475 525 0.1 0.01 103
P(·µm) 50 000a50 000a150a200a0.022b0.13b–10
18b
R() 95 95 0.3 0.4 0.22 13 0.2 1021
R() 0.004 0.004 1.1×1051.6×10500 0.004 106
aOkmetic Oyj.
bCRC Handbook of Chemistry and Physics.
Cathode
Cathode
Figure 1. Schematic representation of the setup for nickel
electroplating.
40 C, 45 C, 55 C and 60 C. The pH value of the solution
was maintained at values between 4 and 4.5. The results are
shown in table 1.
From the results, it can be concluded that on chromium–
gold seed layers and for a bath pH value between 4 and 4.5, the
best results are obtained for a plating current density between
4and5Adm
2and a bath temperature of 55 C. These
optimized parameters have been used during all experiments
for seedless plating of nickel on silicon wafers.
2.2. Theoretical considerations
Electroplating relies on making electrical contact from a power
supply to an ionic solution through an anode and cathode
(wafer). For the experiments described in this paper, the
electrical contact to the wafer is made through contacts along
the circumference on the wafer (peripherical contact), see
figure 2. In order to avoid significant resistance to the
current and to provide a uniform current distribution, the sheet
resistance (R)should be low, typically under 0.5 per square
[5]. In the case of a wafer with a 0.01 µm Cr adhesion layer
and a 0.1 µm thick Au conducting layer on top, this resistance
is about 0.2 per square (table 2). Without this conducting
layer, normally doped silicon wafers (p- or n-type) show a
much higher resistance by about two orders of magnitude.
Highly doped silicon wafers (p+- or n+-type) have a resistance
comparable to that of a normal wafer with a conducting layer.
This means that only highly doped Si wafers can conduct
sufficiently well to allow electrodeposition in the same way as
wafers with a conductive seed layer on top. However, when
the contact to the cathode is made by a backplate electrode
(figure 2(b)), the resistance through the wafer, R,isthe
important parameter. From table 2, it can be concluded that in
this configuration, also normally doped wafers could be plated
without a seed layer.
In practice, another factor has to be taken into account
when plating without a seed layer. An oxide layer, SiO2
layer, of about 1 nm thickness is present on silicon wafers
as received from the supplier. This so-called native oxide
forms on every bare silicon surface exposed to air. Although
very thin, it has a very high resistance to the current (table 2),
which can be enough to avoid electrical contact between the
electrode and the wafer, making it impossible to proceed with
electroplating.
Therefore, removing the native oxide layer from the
surface of the wafers and avoiding getting it again is a key
issue during our experiments. The procedure is not trivial
because the removal of the native oxide using, for example,
HF solutions results in hydrophobic surfaces. These surfaces
are indeed conducting sufficiently, but repel water and water-
based solutions such as the nickel sulfamate electrolyte. This
can be a problem that has to be resolved for successful plating
of patterned wafers as it will be discussed in section 4.3.
3. Bare Si wafers
The experiments were carried out with four types of (1 0 0)-
and (1 1 1)-oriented silicon wafers: p/boron, n/phosphorus,
p+/boron and n+/antimony. The wafers were cleaned using
HNO3to remove organic contaminants and then etched in 1%
HF, to remove the native oxide and inorganic particles from the
wafer surface. It is known from the literature [6] that silicon
surfaces etched in dilute HF solutions are highly resistant
to oxidation in air due to the termination with hydrogen.
2
Seedless electroplating on patterned silicon
(a)(b)
Figure 2. Schematic drawing of the wafer holder with (a) peripherical electrical contact or (b) a backplate contact with respectively the
effective resistances Rand R.
After this the wafers were rinsed just for a few seconds with
deionized water using a shower head in order to remove any
acid left and then spin dried.
While the oxide growth on HF-treated Si surfaces
proceeds extremely slowly in air, it was observed that just
a few seconds in the electrolyte at 55 C were enough to
hinder the growth of nickel. In order to minimize oxidation
reactions between the substrate and the constituents of the
electrolyte, power supply was switched on with a second anode
probe inserted in the bath, prior to the immersion of the wafer
(figure 2). Wafers have been electroplated at 55 C during
3 min with a current density of 4 A dm2. This will lead to an
expected thickness of 2 µm.
Following this procedure, successful results are obtained
for the highly doped wafers (n+- and p+-types), n- and p-type
wafers remain unplated. In our setup, the contact to the silicon
wafers of the plating power supply is applied at the perimeter
of the wafers only, on the surface to be plated. Using this
configuration, the effective resistance is determined by R(as
can be seen from figure 1) which is directly proportional to
the resistivity (ρ). Most probably due to their much higher
resistivity, the n- and p-type wafers are not plated. Although
very inconvenient when plating normally doped wafers, our
configuration for the electrical contact has a major advantage in
the case of 3D plating. When a structure has to be encapsulated
with nickel the use of a backplate electrode would impede any
plating at the backside of the wafer. In the case of a peripherical
contact the backside maybe free and may be plated too.
4. Patterned Si wafers
An important issue during MEMS processing is the ability to
plate and fill high aspect ratio structures conformally, enabling
a void free nickel filled trench. Conformal plating is an
even growth from all surfaces resulting in a deposit of equal
thickness at all points. When a seed layer is used, an insulating
photoresist structure is made on top of the wafer, which is then
electroplated. In this case, the structures to be plated have
nonconducting sidewalls and the trenches are filled evenly
from the bottom up to the desired height. Electroplating occurs
then only on those areas that are not covered by the mask.
After deposition the masking material can subsequently be
removed. In the experiments described in this paper, highly
doped Si wafers are patterned after which the entire wafer
surface is plated. In this case there is no mask, and everything
is conducting, including the walls of the trenches. This leads
to a different plating mechanism.
In order to optimize this trench filling mechanism, highly
doped wafers were processed using the procedure as described
in the previous section. The results led to an adapted
procedure. Although the results improved, this adapted
procedure was not yet satisfying and a final procedure was
introduced.
4.1. Initial procedure
The trench pattern used in our experiments was defined by
optical lithography using a Karl S¨
uss mask aligner. The
p+-type(1 00) oriented silicon wafers from Okmetic Oyj were
dehydrated during 10 min on a hotplate at 120 C. After spin-
coating with the adhesion promoter HMDS and a 3.5 µm thick
positive resist layer (Olin 908-35), they were exposed during
12sto9mWcm
2UV light. After exposure, the resist
layer was developed in Olin OPD 4262. The wafers were
then plasma etched using C4F8/SF6steps (BOSCH etching)
forming vertical trenches2[7].
After standard HNO3cleaning to remove the photoresist,
the wafers were ashed in oxygen atmosphere for 30 min at
800 C to remove the fluorocarbon film which is deposited
during the plasma etching process on the walls of the trenches.
The wafers were immersed for 5 min in 50% HF solution
2Adixen Micro Machining Systems (www.adixen.com), Alcatel Vacuum
Technology, Annecy Cedex, France.
3
L D Vargas Llona et al
(a)
Initial procedure
Adapted procedure
(b)
Figure 3. Cross section of a p+ silicon wafer with 7 µm deep
trenches and electroplated nickel on top.
to remove the oxide film created during ashing and to lift
the carbon residue from the oxide surface. Just prior to nickel
plating the wafers were dipped in 1% HF, rinsed with a shower
head and spin dried. Wafers following this initial procedure
showed clear preferential areas to plate: the walls and bottom
of the trenches (figure 3(a)). We suppose that contact with
water after the HF dip is the cause.
4.2. Adapted procedure
In order to avoid any contact of the surface of the wafer with
water, it was decided to immerse the wafers in ethanol directly
after the 1% HF dip and to spin them dry afterwards. This new
step in the processing led to uniform coverage of the structures
(figure 3(b)).
At this stage it was also decided to eliminate the 50% HF
step. To remove the oxide layer, 1% HF solution during 5 min
was found to be sufficient.
It is not yet fully clear what is causing the preferential
growth of nickel in the trenches when water is used and why
using ethanol this problem is not found.
4.3. Final procedure
A consequence of removing the oxide layer with a HF
solution is that wafers become hydrophobic, i.e. the wafer
surface tends to avoid contact with water. However, the
electroplating solution is water-based and therefore the silicon
wafers tend to avoid contact with the nickel sulfamate, leading
to trapped air bubbles in the structures. Especially, the high
aspect ratio smaller structures show this problem, resulting in
bubble-shaped areas that are hardly plated or not at all plated
(figure 4(a)). As the bubble-shaped areas were found to be
always at the same side of the trenches all over the wafer, it
was concluded that air was trapped during immersion of the
wafer in the electrolyte.
This inherent problem can be solved by spraying the
wafers with ethanol just before introducing them into the
plating bath. Although the wafers are hydrophobic, they are
completely wetted with ethanol. In this way, air bubbles
are avoided leading to successful plating of all structures
(figure 4(b)). A big advantage of this wetting method is that
due to the temperature of the bath, the ethanol is expected to
evaporate, leaving no traces in the bath solution as would
be the case with conventional wetting agents. Moreover,
using conventional wetting agents, the wafers are typically
conditioned for a few minutes inside the solution prior to
starting the current supply. This procedure would be fatal
for hydrogen-terminated silicon because the bath is oxidizing
the silicon for zero current.
The final procedure of direct nickel plating on highly
doped silicon is then as follows:
photolithography,
deep trench plasma etching3,
HNO3cleaning,
ashing at 800 C in oxygen4,
1% HF dip during 5 min,
ethanol dip during 5 min5,
spin dry,
mount wafer on plating holder,
potential is applied using the additional anode probe,
spray wafer with ethanol,
immerse wafer in electrolyte,
plate with nickel.
5. Buried channels
Using this final procedure, trenches have been used as the basis
for the fabrication of microchannels buried in n+ Si wafers [8]
(figure 5). The wafer is covered first with photoresist and
patterned by lithography and directional etching. Trenches
are conformally coated with a polymer which is removed at
the bottom of the trench. The structure is isotropically etched
in the bulk of the substrate. After stripping the coating the
structure is filled with nickel. Depending on the thickness
of the nickel film and the dimensions, the trenches may be
completely sealed.
Three different wafers were prepared using different etch
times: 1, 3 and 9 min, which led to depths of around 6, 13
and 23 µm, respectively, for the 2 µm wide trench. The etch
depth is not increasing linearly with time due to the so-called
RIE lag effect, i.e., for increasing aspect ratio (depth/width
ratio) the etch rate slows down [9]. The samples were coated
with nominally 2 µm of nickel (figure 6). In order to observe
the cross section of the trenches the wafers are introduced
in liquid nitrogen for a moment and then broken along one
of the crystal lines. In the close-ups it can be observed that
the growth of the nickel layer is still in its early stadium for Q1
the deepest trench. There, the 3D nickel island growth can
be clearly noted. For the other two depths, the islands have
already coalesced forming a continuous film. The nucleation
and growth of electroplated nickel follows the Volmer–Weber
model [10].
During electroplating of high aspect ratio structures with
different widths, the deposition rate decreases with increasing
depth. This is probably due to the combination of two effects:
diffusion and electric field effects. For deep narrow trenches
3This plasma etching can be BOSCH or cryogenic.
4Only needed in the case of BOSCH etching.
5IPA can also be used when the resist should not be removed.
4
Seedless electroplating on patterned silicon
(a)(b)
Figure 4. Top view of a silicon trench on an n+ wafer, covered with 2 µm nickel film. (a) Adapted procedure: in the left trench an air
bubble was trapped and released after some time, and in the right one the bubble stayed all the time, inhibiting plating. (b) Result after
improved wetting conditions using the final procedure.
Figure 5. Buried channels fabrication scheme.
Figure 6. Cross sections of the nickel electroplated buried channels with a film thickness of about 2 µm. On the left, 2 µmand4µmwide
trenches are shown for different etching times. On the right, close-ups of the 2 µm trenches show the difference in growth at the bottom as a
function of their depth.
mass transfer takes place via diffusion, which means that
the nickel ions move from a higher to a lower concentration
inside the trench. If the supply of nickel ions is hampered, a
concentration distribution inside the trench can be expected.
On the other hand, due to the trenches the electric field will
also be disturbed. It is expected that the plating solution is
sufficiently conducting to ensure an equipotential condition
inside the trench. Due to the decreasing ionic concentration
the thickness of the outer Helmholtz plane [11] will increase
and, thus, the electric field will decrease down the trench.
A thorough study of these effects is necessary to reveal the
dominant cause.
The use of reversed pulsed plating [12] could be the
solution to obtain high aspect ratio trenches filled evenly from
the bottom without voids. In pulse reversal plating, positive
as well as negative (anodic) dc current pulses separated by
periods of zero current are applied to the wafer. This way,
nickel is deposited during the forward pulse current. The
nickel content inside the trench is replenished during the zero
current by diffusion. During the reversed pulse etching of
nickel takes place, especially at the corner sites. For our
system this means that specifically at the edges of the trench
the nickel is removed at a higher rate than at the bottom.
This way closing of the trench can be avoided and conformal
5
L D Vargas Llona et al
coverage can be achieved. Some experimental work should be
done in order to verify this method.
6. Conclusion
Electroplated nickel films have been successfully deposited
on highly doped silicon wafers using a peripherical electrical
contact. A method to obtain conformal deposition in deep
structures has been presented, where all the efforts are put
in removing the native oxide layer and avoiding getting it
again. Both, applying the plating potential with an additional
anode probe before immersion in the electrolyte and the use
of ethanol instead of water after an HF dip, are found to be
crucial and result in uniform filling. The problem of air bubbles
being trapped in the trenches as the wafer is introduced into
the solution has been solved using ethanol, this time as a
surfactant.
The procedure presented here is a simple method to
electroplate highly doped wafers which leads to full coverage
of patterned wafers, with for example trenches. For deep and
narrow structures, such as buried channels, it was found that at
the bottom, the nickel layer is thinner than on the top. Future
studies are necessary to clarify the causes of this effect.
Acknowledgments
The authors would like to thank the financial support of the
Dutch Technology Foundation (STW) and Igor V Kadija from
ECSI FIBRotools for the technical support with the nickel
electroplating system.
References
[1] Andricacos P C, Uzoh C, Dukovic J O, Horkans J and
Deligianni H 1998 Damascene copper electroplating for
chip interconnections IBM J. Res. Dev. 42 567–74
[2] Gobet J, Cardot F, Bergqvist J and Rudolf F 1993
Electrodeposition of 3D microstructures on silicon
J. Micromech. Microeng. 3123–30
[3] Oskam G, Long J G, Natarajan A and Searson P C 1998
Electrochemical deposition of metals onto silicon J. Phys.
(Appl. Phys.) D31 1927–49
[4] Stoney G G 1909 The tension of thin metallic films deposited
by electrolysis Proc. R. Soc. A82 172–77
[5] Pasa A A and Schwarzacher W 1999 Electrodeposition of thin
films and multilayers on silicon Phys. Status Solidi a173
73–84
[6] Graf D, Grundner M, Schulz R and Muhlhoff L 1990
Oxidation of HF-treated Si wafer surfaces in air J. Appl.
Phys. 68 5155–61
[7] Laermer F and Schilp D Method of anisotropically etching
silicon Licensed from Robert Bosch GmbH: US Patent Q2
5501893
[8] de Boer M J, Tjerkstra R W, Berenschot J W, Jansen H V,
Burger G J, Gardeniers J G E, Elwenspoek M and van den
Berg A 2000 Micromachining of buried micro channels in
silicon J. Microelectromech. Syst. 994–103
[9] Elwenspoek M and Jansen H V 2004 Silicon Micromachining
(Cambridge: Cambridge University Press)
[10] Watanabe T 2004 Nano-plating. Microstructure control theory
of plated film and data base of plated film microstructure
(Oxford: Elsevier) pp 97–105
[11] Bard A J and Faulkner L R 2001 Electrochemical Methods:
Fundamentals and Applications (New York: Wiley)
pp 12–4
[12] West A C, Cheng C C and Baker B C 1998 Pulse reverse
copper electrodeposition in high aspect ratio trenches and
vias J. Electrochem. Soc. 145 3070–4
6
Queries
(1) Author: Please check the usage of ‘stadium’ here
(2) Author: Please provide the year in reference [8].
Reference linking to the original articles
References with a volume and page number in blue have a clickable link to the original article created from data deposited by
its publisher at CrossRef. Any anomalously unlinked references should be checked for accuracy. Pale purple is used for links
to e-prints at arXiv.
... In this work, we used conformal electroplating of Au directly on low resistivity silicon wafers with a progressive closing of the recess structures and without any pre-deposition of a metallic seed layer, thanks to the high conductivity of the substrate. A similar approach was previously demonstrated for Ni electroplating of Vias structures in Si [26]. In this paper, we extended this process to Au electroplating and dense grating structures with much higher aspect ratios. ...
... Electroplating was performed in a cyanide based solution (Autronex-GVC from Enthone Inc.) using constant current in the range of 3.0-10 mA, corresponding to a current density in a range of~1.5-5.0 µA/dm 2 and a typical deposition time of about 2-4 days. The electrical contact of the wafer was done through the bottom of the wafer, ensuring a uniform growth of the initial layer throughout the complete wafer area [26]. A slight tapering of the Si structures produced during the DRIE step with a slow, constant current electroplating enabled a conformal deposition of Au and a complete filling of the trenches, as schematically shown in Figure 1, steps 6-8. ...
Article
Full-text available
We present a method to produce small pitch gratings for X-ray interferometric imaging applications, allowing the phase sensitivity to be increased and/or the length of the laboratory setup to be minimized. The method is based on fabrication of high aspect ratio silicon microstructures using deep reactive ion etching (Bosch technique) of dense grating arrays and followed by conformal electroplating of Au. We demonstrated that low resistivity Si substrates (<0.01 Ohm·cm) enable the metal seeding layer deposition step to be avoided, which is normally required to initiate the electroplating process. Etching conditions were optimized to realize Si recess structures with a slight bottom tapering, which ensured the void-free Au filling of the trenches. Vapor HF was used to remove the native oxide layer from the Si grating surface prior to electroplating in the cyanide-based Au electrolyte. Fabrication of Au gratings with pitch in the range 1.2–3.0 µm was successfully realized. A substantial improved aspect ratio of 45:1 for a pitch size of 1.2 µm was achieved with respect to the prior art on 4-inch wafer-based technology. The fabricated Au gratings were tested with X-ray interferometers in Talbot–Laue configuration with measured visibility of 13% at an X-ray design energy of 26 keV.
... In this work, For both processes, they were rinsed with DI water and ultrasonic cleaned for about 120 s in 100% of isopropanol (IPA). Ethanol has been similarly proposed by Llona et al. [228] due to its high wetting characteristics on the substrate, avoiding bubbles to be trapped at the surface defects created when introducing the substrate in a vertical position into the plating cell. ...
Thesis
The semiconductor industry has great interest in seedless electroplating. The main reason is that well-developed processes would allow the production of even smaller devices. However, seedless electroplating suffers from problems such as diffusion of Cu into Si and very low adhesion of Cu layers onto Si substrates. The activity and selectivity of Cu electrodeposition on Si substrates, and the stability of the deposited layers are the main points investigated in this work. The determination of electrodeposition techniques and their settings for semiconductors require a prior understanding of the reactions and energy levels involved. They can vary depending on the substrate’s surface characteristics (e.g. crystal orientation and roughness), doping (i.e. type and level) and chosen electrolyte (i.e. concentration and temperature). Therefore, the determination of both the oxide removal process and the electrolyte is very important. In case of metallic substrates, electrodeposition processes require mostly a simple constant potential. Despite, a semiconductor/electrolyte interface suffers enormous changes during the processes and different potentiostatic/galvanostatic techniques must be considered. Desired results (i.e. full metal coverage with a continuous layer) are usually obtained by combinations of two (or more) techniques. The first one is required to obtain optimal starting conditions for electrodeposition, similar to seed layers. The following technique is used to obtain full metal coverages. Different onset reduction potentials (ORP) of Cu2+ on BHF-etched Si samples (or Si-H dissociation) were obtained from cyclic voltammetry for different Si crystal orientations. The required ORP is shown to be linearly related to the substrate’s surface energy, which allows the possibility of selective electroplating on samples anisotropically etched prior to electrodeposition. This was demonstrated by plating preferentially on Si(111) structured in n Si(100). Etching processes are compared with respect to the substrate’s surface energy, roughness and wetting. KOH etching increases the substrates wettability with respect to those etched by HF or BHF. A proposed process consists of providing a specific cathode (i.e. Si wafer) roughness and creating defects for metal deposition to improve adhesion due to mechanical anchoring. A simple and inexpensive proof of concept to deposit metals on pre-defined areas of n-Si(100) substrates without using masking layers nor additives while plating is presented. The main idea is that defects are energetically the most appropriate starting sites for nucleation. Therefore, surface defects can be created where deposition should take place. This can be done by KOH etching since it creates surface defects. According to flat-band potential, extrapolated from Mott-Schottky plots, charge transfers happen via surface states in this case. The presence of surface defects can introduce several changes at the electrode/electrolyte interface such as modification of the double layer capacitance and the space charge layer. Therefore, impedance measurements with different frequencies and bias voltages in an acidic copper sulfate electrolyte were carried out on n-Si(100) substrates. Prior to the measurements, they were either etched by KOH or BHF. An equivalent circuit was used to investigate the change in different parameters when varying the bias voltage. Then, a comparison between both pre-treatments was made. Scratch tests and tensile measurements were realized to determine the adhesion of deposited Cu layers onto different Si substrates. Different setups were used due to the large forces (between 75 N and 1300 N) required to detach deposited Cu layers from the different substrates. Experiments were done with different crystal orientations, and for both phosphorus- and boron-doped Si(100). The results are explained in relation to interface mismatch, crystal structures formed between the different phases and atomic interdiffusion. Physical and chemical composition measurements of the former interfaces were carried out to support an introduced model. This model uses Cu diffusion depth into Si(100) of different doping types to explain the adhesion difference between Cu layers and its substrate. Finally, hydrogen evolution, current density and potential applied during the first few minutes of the deposition processes were correlated to the adhesion between Cu layer and Si substrates.
... The sample holder for silicon wafer-based specimen was developed and adapted according to previous reports [8,33,34]. The mounting device enables the areal electrical contact of a thin-film material deposited on a semiconductor substrate with an exposed circular opening (diameter d = 4.5 mm). ...
Article
Full-text available
In this report, a versatile experimental concept for electrochemical deposition and subsequent surface characterization studies is presented. This concept can be utilized to perform semiconductor plating processes at laboratory scale followed by scanning electrochemical microscopy (SECM). The same sample holder used for electroplating experiments could be integrated into the SECM instrument. Conductive thin-film barrier materials were deposited on planar silicon wafers. The substrate samples were fixed in the multipurpose sample holder ensuring a large electrical contact area to minimize ohmic drop across the sample surface with a small circular area of the substrate material of 16 mm² exposed to electrolyte solution. In order to investigate the capabilities of the electrochemical cell configuration, a potentiostatic copper deposition on ruthenium was carried out. Thus, information on film coalescences, grain size, and growth mode could be derived. SECM was used to study the effect of biasing during probe approach curves on a titanium surface. Furthermore, microstructured copper layers were imaged using ferrocenemethanol (FcMeOH) as mediator. The results show that biasing the substrate is essential for non-destructive and interaction-free measurements of semiprecious thin-film materials and copper structures, if FcMeOH is used as electrochemical mediator. Graphical abstract Schematic diagram of multipurpose electrodeposition cell configuration. Open image in new window
... When high ρ MacEtch solutions are used in combination with high temperature of Au de-wetting, the Au membrane can leave nanowires at the bottom of the grating, as it can be observed in Fig. 3b (annealing T = 250°C, x = (0.92) 4.98 ). These nanowires can be detrimental for the following filling of the Si trenches with high absorption x-ray material, such as Au electroplating [31,32] or casting [33]. For ρ b 0.6 the nanowires can be also consumed by the MacEtch solution itself, being very thin Si structures that are easily oxidized by H 2 O 2 and etched away by HF. ...
Article
Wet etching is an essential and complex step in semiconductor device processing. Metal-Assisted Chemical Etching (MacEtch) is fundamentally a wet but anisotropic etching method. In the MacEtch technique, there are still a number of unresolved challenges preventing the optimal fabrication of high-aspect-ratio semiconductor micro- and nanostructures, such as the undesired etching, uncontrolled catalyst movement, non-uniformity and micro-porosity in the metal-free areas. Here, an optimized MacEtch process using with a nanostructured Au catalyst is proposed for fabrication of Si high aspect ratio microstructures. The addition of isopropanol as surfactant in the HF-H2O2 water solution improves the uniformity and the control of the H2 gas release. An additional KOH etching removes eventually the unwanted nanowires left by the MacEtch through the nanoporous catalyst film. We demonstrated the benefits of the isopropanol addition for reducing the etching rate and the nanoporosity of etched structures with a monotonic decrease as a function of the isopropanol concentration.
... An example of Si grating produced by the Bosch process is reported in Figure 1.b, the grating was cleaved in order to obtain a cross section image by Scanning Electron Microscopy (SEM). Seedless electroplating [22] was used to grow a conformal thin layer of Au on the Bosch etched grating (see Figure 1.c) to improve the wettability of the liquid metal on the template during the casting process. Due to the high resistivity of the wafer, the seedless electroplating is not possible on MACE gratings, so Atomic Layer Deposition (ALD) was used to realize a conformal coating of 20 nm of iridium (Ir). ...
Article
Full-text available
Metal microstructured optical elements for grating-based X-ray phase-contrast interferometry were fabricated by using an innovative approach of microcasting: hot embossing technology with low melting temperature (280 °C) metal alloy foils and silicon etched templates. A gold-tin alloy (80 wt% Au/20 wt% Sn) was used to cast micro-gratings with pitch sizes in the range of 2 to20 μm and depth of the structures up to 80 μm. The metal filling of the silicon template strongly depends on the wetting properties of the liquid metal on the groove surface. A thin metal wetting layer (20 nm of Ir or Au) was deposited before the casting in order to turn the template surface into hydrophilic with respect of the melted metal alloy. Temperature and pressure of the hot embossing process were optimized for a complete filling of the cavities in a low viscosity regime of the liquid metal, and for minimizing the shear force that might damage the silicon structures for small pitch grating. The new method has relevant advantages, such as being a low cost technique, fast and easily scalable to large area fabrication.
Article
This paper reviews recent progress in the development of silicon-based vapor chambers for heat spreading in electronic packages. Effective hotspot mitigation is an increasingly challenging issue in electronics thermal management, and the use of silicon vapor chambers creates opportunities for thermal-expansion matched, high performance heat spreaders that can be directly integrated with the semiconductor die. While silicon micro heat pipes have been extensively studied as one-dimensional heat transport mechanisms for heat routing in semiconductor substrates, silicon vapor chambers require special consideration and different manufacturing approaches due to the different heat transport configurations involved. The following review therefore provides an overview on the evolution of silicon vapor chambers in terms of fabrication strategies and performance characterization. Particular focus is given to opportunities and challenges associated with using silicon as the vapor chamber envelope material rather than more traditional metal-based vapor chambers, such as the ability to optimize the wick geometry with greater fidelity and issues with manufacturing scalability.
Article
There are numerous substrates that are electroplated in electronic industry to use in electronic devices. Nickel–Cobalt (Ni–Co) is one of the substrates that is used in the integrated circuits for telecommunication. The substrate is needed to prepare before electroplating of which pre-cleaning is of paramount importance. The substrate must be cleaned to remove grease, oil, etc. from the surface. Moreover, the gold contaminant on the surface of Ni–Co substrate is transformed to gold oxide during heat treatment, which is a prerequisite step before electroplating. It was observed that standard pre-cleaning procedure practiced in the electronic industry cannot remove the oxide contaminant. Fairly controlled uniform thickness can be achieved by proper control of electroplating parameters. However, the defects generated on plated substrate cannot be eliminated by controlling electroplating parameters. It was found that pre-cleaning of substrate played an important role to eliminate the contaminants. Poor adhesion of plating materials, blistering, skip plating, plate flakes, pitting are a few common plating defects which result in poor solderability. In this review, electroplating and its defects and minimisation of defects are discussed. Surface preparation before plating is crucial in this respect. Defect-free substrate results in efficient solderability, consequently reducing rejection rate of substrate and increasing the yield, thereby, making the process cost effective.
Article
Copper is the most commonly used material for interconnects within microelectronics. However, electromigration and high resistance within the material limit the use of copper in nanoscale applications. New, two-dimensional (2D) materials such as graphene, carbon nanotubes (CNTs), and transition-metal dichalcogenide (TMDs) are being developed for the next generation of interconnects. A composite consisting of a forest of vertically aligned carbon nanotubes within a matrix of copper is a proposed solution to the issues which present themselves in nanoscale copper interconnects. The fabrication of CNTs and graphene often requires high temperatures that exceed the material limitations of copper in microelectronic processing. If these 2D materials are used in conjunction with copper, it is desirable to delay copper deposition to late stages of microfabrication in order to avoid exposing copper to high temperatures. It is proposed that a seed layer be deposited before deposition/growth of CNTs/graphene or other TMD materials, such that later deposition of copper via electroplating is feasible. A conductive seed layer must be introduced underneath the forest of CNTs in order to allow for electroplating to take place. Copper itself may not be used as a seed layer since it migrates at elevated temperatures, which prevents growth of carbon nanotubes. Thus, the seed layer must be able to withstand high temperatures, must not migrate or diffuse into silicon or barrier layers, and must also be a suitable seed layer for copper electroplating. This research focuses on evaluating different metals as potential seed layers for copper electroplating for nanoscale applications. Layers of various metals of 100 Å, 275 Å, and 1000 Å thickness were deposited onto silicon wafers via physical vapor deposition. They were then electroplated with copper and examined visually for quality and consistency. A digital microscope was used for microscopic examination, then a scanning electron microscope was used to examine the development of copper over the seed layers and for elemental mapping to verify copper deposition. Seeds consisting of 1000 Å platinum, 1000 Å nichrome, and 100 Å silver showed strong promise for use as seed layers for nanoscale applications. Platinum and nichrome were found to develop uniform copper coatings and strongly adhere to the silicon wafer. To protect platinum and nichrome from diffusion into silicon during chemical vapor deposition (CVD) CNT growth, a barrier layer may be required. Copper developed uniformly over the 100-Å silver seed. Silver is unlikely to diffuse into silicon at the temperatures required during CVD CNT growth. Due to poor adhesion between the silver seed and silicon wafer, an adhesion layer may be used to improve reliability.
Article
Electrodeposition of metals directly on non-metal substrates without any seed layer reduces process steps; however, adhesion of the electrodeposited layer is a challenge. In this work, the influence of current density during seedless electroplating on the adhesion of Cu layers on Si substrates was investigated. Cu was electrodeposited on phosphorus-doped Si samples having different orientations in two stages. During the first stage, higher current densities were used for a few minutes to reach instantaneous nucleation and maximize the number of Cu islands on the substrate. The second stage had the goal of growing the nuclei previously formed. Therefore, lower current densities were used to create progressive nucleation. Adhesion values of Cu layers on Si were derived from scratch tests and tensile measurements. Higher adhesion was obtained either at higher current densities or at lower cathodic potentials at the beginning of potentiostatic or galvanostatic processes. To study the influence of hydrogen evolution on the adhesion of seedless electrodeposited Cu on Si, the transition time which indicates the moment when transferred charges are used to create hydrogen at the cathode, was determined experimentally. The transition time shows a strong dependence on current density. Therefore, control and optimization of adhesion by an appropriate choice of current densities within the two-stage process is possible.
Article
This paper reports an approach for co-fabrication of silicon-on-insulator (SOI) sensors with low-resistance vertical electrical interconnects in thick (up to 600 μm) wafers. The thru-wafer interconnects double-sided (TWIDS) process is based on bottom-up seedless copper electroplating, and allows for voids-free features and high aspect ratio (wafer thickness to copper diameter ratio of 10:1). This work describes the design trade-offs, process flow, and characterization of interconnects. TWIDS technology is compatible with a standard SOI micro-electro-mechanical systems (MEMS) fabrication process and is applicable for micro sensors, such as accelerometers, gyroscopes, resonators, and RF MEMS devices, as well as for the 3-D MEMS assemblies. As a demonstration of potential applications, miniature toroidal ring gyroscopes were fabricated using the TWIDS process. The experimental characterization showed that the low-resistance interconnects with low parasitic losses are suitable for integration with capacitive-detection sensors. In addition, the mechanical stability of the interconnects is discussed in this paper, and a method to enhance structural rigidity by means of filling the insulating gaps with Parylene C is demonstrated. [2017-0179]
Article
Full-text available
The general concepts governing the electrochemical deposition of metal films onto semiconductors are discussed. Deposition onto semiconductor surfaces is complicated due to the band structure of the semiconductor, which affects both the thermodynamics and the kinetics of metal deposition processes. The influence of the potential distribution at the semiconductor/solution interface on the charge transfer mechanisms involved in deposition of metals is discussed. Models for electrochemical nucleation and growth are described and the influence of the unique physical properties of semiconductors is analysed. Finally, we present recent results for electrochemical deposition of gold, copper and platinum onto n-type silicon.
Article
Full-text available
A new method for the fabrication of micro structures for fluidic applications, such as channels, cavities, and connector holes in the bulk of silicon wafers, called buried channel technology (BCT), is presented in this paper. The micro structures are constructed by trench etching, coating of the sidewalls of the trench, removal of the coating at the bottom of the trench, and etching into the bulk of the silicon substrate. The structures can be sealed by deposition of a suitable layer that closes the trench. BCT is a process that can be used to fabricate complete micro channels in a single wafer with only one lithographic mask and processing on one side of the wafer, without the need for assembly and bonding. The process leaves a substrate surface with little topography, which easily allows further processing, such as the integration of electronic circuits or solid-state sensors. The essential features of the technology, as well as design rules and feasible process schemes, will be demonstrated on examples from the field of μ-fluidics
Article
A theoretical model, based on a one‐dimensional approximation, for the filling of high‐aspect ratio trenches and vias with copper by pulse reverse electrodeposition is presented. The dependence of void size on the pulse waveform is demonstrated for submicron features. It is shown that the off‐time should be on the order of the diffusion‐time constant and that the deposition time should be smaller than the diffusion time constant. Reverse current, i.e., dissolution, is shown to play an important role in diminishing void size. Comparison with two‐dimensional calculations indicates that the theory is an adequate yet efficient means of simulating shape change.
Article
Metal electrodeposition combined with resist micropatterning techniques provides a powerful tool for the fabrication of thick metallic microstructures. This paper discusses the main characteristics of the electrodeposition process and describes the specific properties of negative polyimide and positive photoresists. The innovative use of this technique is illustrated by the presentation of two realizations, an on-chip high-density array of electromagnets developed for high-performance printing heads, and a micromachined microphone. These realizations demonstrate that not only the real 3D electroplated microstructures are achievable but also the integration of electronics is possible on the same silicon substrate.
Article
Cu, Co and Ni thin films and Co–Ni–Cu/Cu multilayers have been electrodeposited directly on n-type silicon substrates. This removes the need of using a seed-layer deposited by some other methods as a part of the growth process and integrates an efficient, inexpensive and convenient method for fabricating thin films with silicon technology. The deposits were prepared under potentiostatic conditions from different aqueous solutions, containing basically: (i) sulphates of the metallic ions plus sodium sulphate and boric acid for thin films and (ii) Ni sulphamate, Co sulphate, Cu sulphate, boric acid and sulphamic acid for multilayers. Aspects related to the deposition process and deposited layers were investigated by cyclic voltammetry, current transients, scanning electron microscopy, Rutherford backscattering and magnetoresistance measurements. Typically, thin compact metallic layers with regular granularity were obtained. Depending on the additives used, different nucleation and growth mechanisms were observed. Magnetic multilayers with a maximum giant magnetoresistive (GMR) ratio of about 10% were produced.
Article
The change in the chemical surface state of polished Si wafers [p‐type, (100) oriented] during storage in air at room temperature was investigated for storage times up to half a year. Measurements were performed by x‐ray Photoelectron Spectroscopy (XPS) and High Resolution Electron Energy Loss Spectroscopy (HREELS). Immediately after the HF treatment (1 min 5% HF, 2 min water rinse) vibrational spectroscopy (HREELS) shows a predominant coverage of the surface with hydride groups (80%–90% of a ML), which can be inferred from the presence of the stretching (2100 cm<sup>-1</sup>), scissor (900 cm<sup>-1</sup>) and bending (640 cm<sup>-1</sup>) vibrations in the spectra. A slight additional coverage with oxygen is proved by XPS and originates from Si‐OH groups (3670 cm<sup>-1</sup>) and oxygen‐related hydrocarbon groups (XPS). These Si‐OH groups result from an exchange reaction of Si‐F with water during the two‐minute water rinse. The development of an oxygen coverage during subsequent storage in air occurs extremely slowly and shows a logarithmic behavior. A monolayer coverage of oxygen (7×10<sup>14</sup>/cm<sup>2</sup>) is reached after approximately 7 days of storage in air. HREELS spectra exhibit the concurrent development of the asymmetric Si‐O‐Si vibration, which indicates that oxygen penetrates the lattice and breaks Si—Si bonds. During this period the Si‐O‐Si frequency shifts from about 1060 to 1100 cm<sup>-1</sup>. The penetration of backbonds of Si—H gets evident by broadening of the Si‐H stretching vibration and finally by a shift to higher wavenumbers. Chemically shifted components of the Si 2p line (partially oxidized Si) are present with the SiO 2-x component (chemical shift ≳3.4 eV) becoming dominant after roughly a week. Further oxidation proceeds essentially by an increase of the SiO 2 peak in combinat- ion with a steeper slope of the logarithmic growth curve. The SiO 2 thickness after half a year is about 8 Å. The frequency of the Si‐O‐Si vibration shifts up to 1120 cm<sup>-1</sup>, which can be related to a growing angle of the Si‐O‐Si bridge. Si—H groups are still present, the final peak position is about 2220 cm<sup>-1</sup>. The measurements show an extended induction period until the monolayer range of oxide coverage is attained. We ascribe this to the passivation of the surface by hydrogen and to a HF treatment according to Very‐Large‐Scale‐Integration standards.
Article
Damascene Cu electroplating for on-chip metallization, which we conceived and developed in the early 1990s, has been central to IBM's Cu chip interconnection technology. We review here the challenges of filling trenches and vias with Cu without creating a void or seam, and the discovery that electrodeposition can be engineered to give filling performance significantly better than that achievable with conformal step coverage. This attribute of superconformal deposition, which we call superfilling, and its relation to plating additives are discussed, and we present a numerical model that represents the shape-change behavior of this system.
  • M J De Boer
  • R W Tjerkstra
  • J W Berenschot
  • H V Jansen
  • G J Burger
  • J G E Gardeniers
  • M Elwenspoek
  • Van Den
  • A Berg
de Boer M J, Tjerkstra R W, Berenschot J W, Jansen H V, Burger G J, Gardeniers J G E, Elwenspoek M and van den Berg A 2000 Micromachining of buried micro channels in silicon J. Microelectromech. Syst. 9 94–103