Article

Magnitude and sources of uncertainties in soil organic carbon (SOC) stock assessments at various scales

Authors:
To read the full-text of this research, you can request a copy directly from the authors.

Abstract

Uncertainties in soil organic carbon (SOC) stock assessments are rarely quantified even though they are critical in determining the significance of the results. Previous studies on this topic generally focused on a single variable involved in the SOC stock calculation (SOC concentration, sampling depth, bulk density and rock fragment content) or on a single scale, rather than using an integrated approach (i.e. taking into account interactions between variables). This study aims to apply such an approach to identify and quantify the uncertainties in SOC stock assessments for different scales and spatial landscape units (LSU) under agriculture. The error propagation method (δ method) was used to quantify the relative contribution of each variable and interaction involved to the final SOC stock variability. Monte Carlo simulations were used to cross‐check the results. Both methods converged (r ² =0.78). As expected, the coefficient of variation of the SOC stock increased across scales (from 5 to 35%), and was higher for grassland than for cropland. Although the main source of uncertainty in the SOC stock varied according to the scale and the LSU considered, the variability of SOC concentration (due to errors from the laboratory and to the high SOC spatial variability) and of the rock fragment content were predominant. When assessing SOC stock at the landscape scale, one should focus on the precision of SOC analyses from the laboratory, the reduction of SOC spatial variability (using bulk samples, accurate re‐sampling, high sampling density or stratified sampling), and the use of equivalent masses for SOC stock comparison. The regional SOC stock monitoring of agricultural soils in southern Belgium allows the detection of an average SOC stock change of 20% within 11 years if very high rates of SOC stock changes occur (1 t C ha –1 year –1 ). Amplitude et sources des incertitudes liées aux estimations des stocks de carbone organique dans le sol (COS) à différentes échelles Résumé Les erreurs associées aux estimations du stock de carbone organique dans le sol (COS) sont rarement quantifiées bien qu’elles puissent empêcher l’obtention de résultats significatifs. Les quelques études qui le font focalisent en général sur une seule variable nécessaire au calcul du stock de COS (concentration en COS, profondeur échantillonnée, densité apparente et contenu en fragments rocheux) ou sur une échelle spatiale particulière, sans utiliser d’approche intégrée (prenant en compte les interactions entre les variables). Cette étude a pour objectif d’utiliser une telle approche pour identifier et quantifier les incertitudes liées aux estimations de stock de COS à différentes échelles spatiales et pour diverses unités spatiales de paysages (USP) agricoles. La loi de propagation des erreurs (méthode δ) permet de quantifier la contribution relative de chaque variable et interaction à la variabilité finale du stock de COS. Les simulations de Monte Carlo sont utilisées pour la vérification croisée des résultats. Les deux méthodes ont convergé (r ² = 0.78). Comme prévu, le coefficient de variation du stock de COS a proportionnellement augmenté avec l’échelle spatiale considérée (de 5 à 35%), et était plus élevé pour les cultures que pour les prairies. Bien que la principale source d’erreur sur le stock de COS soit fonction de l’échelle spatiale et du type d’USP considérés, la variabilité du contenu en COS (du fait des erreurs de laboratoire et de sa grande variabilité spatiale) et du contenu en fragments rocheux étaient prédominants. Lors de l’estimation des stocks de COS à l’échelle du paysage, l’attention devrait prioritairement porter sur la précision des analyses en COS du laboratoire, la réduction de la variabilité spatiale du COS (en utilisant des échantillons composites, un ré‐échantillonnage précis, une densité d’échantillonnage élevée ou un échantillonnage stratifié), et sur l’utilisation de masses équivalentes pour comparer les stocks de COS. Le réseau régional de suivi des stocks de COS des sols agricoles dans le sud de la Belgique permet la détection d’un changement de stock de COS moyen de 20% en 11 ans pour un taux très élevé de changement en stock de COS (1 t C ha –1 year –1 ).

No full-text available

Request Full-text Paper PDF

To read the full-text of this research,
you can request a copy directly from the authors.

... A challenge to generating such empirical datasets is the assumption that time and monetary demands to quantify change at timescales (e.g., 10 years) relevant for carbon crediting and near-term climate mitigation are infeasible with current best-methods for sampling soils . The infeasibility is argued to arise because changes in stocks are slow and small relative to standing stocks, which themselves vary markedly in size at within-field spatial scales (Goidts et al., 2009;Poeplau et al., 2022;VandenBygaart and Angers, 2006). The variation in stocks and the factors that regulate them makes it difficult to separate changes in the SOC stocks of individual fields due to practice adoption from changes caused by confounding site-specific factors or random sampling error (Heikkinen et al., 2013;Poeplau et al., 2022). ...
... The three fields we use are all ~ 16 ha in size and span a gradient of within-field variability in SOC stocks (Fig. S1), with coefficients of variation (CV) of 14, 13 and 10 %. These CV values are typical of those observed for temperate agricultural fields at plot (e.g., 1 to 20 m 2 ) and field scales (Goidts et al., 2009;Poeplau et al., 2022). Point estimates of SOC stocks at 0-30 cm commonly range from high values that are double the size of lower values in the same field ( Van-denBygaart, 2006), as we observed for these fields (Fig. S1). ...
... We define this scale here as the observational grain of an individual field, and a study extent of multiple fields arrayed across a subregional agricultural area. Yet at this scale, within-and among-field variation in SOC stocks is assumed to obscure our ability to quantify accurate effect sizes with current best practices for soil sampling (e.g., Goidts et al., 2009;Poeplau et al., 2022;VandenBygaart and Angers, 2006). Our analysis supports this infeasibility assumption for individual fields, where robust, accurate estimates of change in SOC were not achievable, even with the higher sampling densities being used in some agricultural SOC projects. ...
... A challenge to generating such empirical datasets is the assumption that time and monetary demands to quantify change at timescales (e.g., 10 years) relevant for carbon crediting and near-term climate mitigation are infeasible with current best-methods for sampling soils . The infeasibility is argued to arise because changes in stocks are slow and small relative to standing stocks, which themselves vary markedly in size at within-field spatial scales (Goidts et al., 2009;Poeplau et al., 2022;VandenBygaart and Angers, 2006). The variation in stocks and the factors that regulate them makes it difficult to separate changes in the SOC stocks of individual fields due to practice adoption from changes caused by confounding site-specific factors or random sampling error (Heikkinen et al., 2013;Poeplau et al., 2022). ...
... The three fields we use are all ~ 16 ha in size and span a gradient of within-field variability in SOC stocks (Fig. S1), with coefficients of variation (CV) of 14, 13 and 10 %. These CV values are typical of those observed for temperate agricultural fields at plot (e.g., 1 to 20 m 2 ) and field scales (Goidts et al., 2009;Poeplau et al., 2022). Point estimates of SOC stocks at 0-30 cm commonly range from high values that are double the size of lower values in the same field ( Van-denBygaart, 2006), as we observed for these fields (Fig. S1). ...
... We define this scale here as the observational grain of an individual field, and a study extent of multiple fields arrayed across a subregional agricultural area. Yet at this scale, within-and among-field variation in SOC stocks is assumed to obscure our ability to quantify accurate effect sizes with current best practices for soil sampling (e.g., Goidts et al., 2009;Poeplau et al., 2022;VandenBygaart and Angers, 2006). Our analysis supports this infeasibility assumption for individual fields, where robust, accurate estimates of change in SOC were not achievable, even with the higher sampling densities being used in some agricultural SOC projects. ...
... where y is the SOC stock (t C ha −1 ), x 1 is the SOC depth profile (m), x 2 is SOC concentration (g C kg −1 ), x 3 is bulk density (kg m −3 ), and x 4 is proportion of large fragments (rock) and is dimensionless [27]. Examination of empirical data collected in this study suggested that a power law model may be an adequate model for representing the soil organic carbon depth profile, especially in south-eastern Australia. ...
... Uncertainty can be quantified by first-order differential error analysis (Equation (6)), or Monte Carlo simulation, assuming no significant correlation between the variables. Recently, different researchers [27,29] studied the problem of correlation between variables Environments 2023, 10, 29 4 of 11 and included the covariance terms in the Taylor series approximation (Equations (5) and (6). This results in the following expression for variance in the case of Equation (8), ...
... Consistent with the notation in this paper, the sum of variances and covariances for the SOC stock estimate [27] can now be expressed as follows, ...
Article
Full-text available
The soil organic carbon (SOC) depth profile provides information for many applications, including monitoring climate change, carbon sequestration, reforestation, and land erosion. Models of the SOC profile support data interpolation, trend analysis, and carbon mapping, and can be used in larger pedometric models in support of carbon farming. Model errors may be due to statistical variability in discrete data and the limited sample size available for model calibration. Uncertainties in the model can arise from a process of iterative parameter adjustment and can be estimated by gradient-based methods or probabilistic methods. A comparison between Frequentist and Bayesian approaches to the construction of regression-based models revealed that the results were very similar when used for calibrating a model for the SOC profile. The model was applied to four representative regional sites in Victoria.
... There are large uncertainties existing in currently available SOC stock datasets that serve as critical inputs for carbon balance models (Goidts et al., 2009;Jandl et al., 2014;Potash et al., 2022). For soil sampling data, the accuracy of measured SOC stock depends on the representativeness of sampling locations and time, and the measurement uncertainties of SOC concentration (SOC%), bulk density (Bulk_Density), and gravel content (Goidts et al., 2009;Meersmans et al., 2009). ...
... There are large uncertainties existing in currently available SOC stock datasets that serve as critical inputs for carbon balance models (Goidts et al., 2009;Jandl et al., 2014;Potash et al., 2022). For soil sampling data, the accuracy of measured SOC stock depends on the representativeness of sampling locations and time, and the measurement uncertainties of SOC concentration (SOC%), bulk density (Bulk_Density), and gravel content (Goidts et al., 2009;Meersmans et al., 2009). For example, using the state-of-the-art soil sampling methods, uncertainty of SOC% measurements can still be up to 16% depending upon the method adopted, while the uncertainty of Bulk_Density measurements is even larger and can lead to 10-40% uncertainty in SOC stock estimation (Goidts et al., 2009;Meersmans et al., 2009). ...
... For soil sampling data, the accuracy of measured SOC stock depends on the representativeness of sampling locations and time, and the measurement uncertainties of SOC concentration (SOC%), bulk density (Bulk_Density), and gravel content (Goidts et al., 2009;Meersmans et al., 2009). For example, using the state-of-the-art soil sampling methods, uncertainty of SOC% measurements can still be up to 16% depending upon the method adopted, while the uncertainty of Bulk_Density measurements is even larger and can lead to 10-40% uncertainty in SOC stock estimation (Goidts et al., 2009;Meersmans et al., 2009). In addition, the impact of gravel content on SOC stock estimation can be difficult to determine and is often omitted due to a lack of data (Gerzabek et al., 2005). ...
Article
Cropland carbon budget depicts the amount of carbon flowing in and out of agroecosystems and the changes in carbon stocks of soil and living biomass during the same period. Soil carbon credit is the additional change in soil carbon stock under certain farming practices compared with the business-as-usual practices. Accurately calculating cropland carbon budget and soil carbon credit is critical to assessing climate change mitigation potential in agroecosystems. The calculation of cropland carbon budget and soil carbon credit is sensitive to local soil and climatic conditions, especially initial soil organic carbon (SOC) stock, which is determined by both SOC concentration (SOC%) and bulk density (Bulk_Density). SOC stock data are either from soil sampling or gridded public survey data. In agroecosystem models, SOC stock data are a key model input for quantifying cropland carbon budget and soil carbon credit. However, various types and degrees of uncertainties exist in SOC stock datasets, which propagate to the quantification of SOC stock change. In particular, a large discrepancy is found in two widely used SOC stock datasets — Rapid Carbon Assessment dataset (RaCA) and Gridded Soil Survey Geographic Database (gSSURGO) — in the U.S. Midwest, with a relative difference (quantified using Normalized Root Mean Square Error, NRMSE) of 48.0% for 0–30 cm SOC stock between the two datasets. It remains largely unclear how uncertainty in SOC stocks affects the calculation of cropland carbon budget and soil carbon credit. To address this question, we used a well-validated process-based agroecosystem model, ecosys, to assess the impacts of SOC stock uncertainty on carbon budget and soil carbon credit calculation in the U.S. Midwestern corn-soybean rotation systems. Our results reveal the following findings: (1) A sizable discrepancy exists in simulated cropland carbon budget between using gSSURGO and using RaCA for their SOC% and Bulk_Density as model inputs, with a Pearson correlation coefficient (r) of only 0.4 for simulated change of SOC stock (ΔSOC) using these two different soil datasets. (2) Simulated cropland carbon budget components were more sensitive to initial SOC% than to Bulk_Density. For example, the upper and lower quartiles of multi-year averaged ΔSOC were −29.8 and 4.8 gC/m²/year for the selected counties respectively, with an uncertainty of 13.7 and 0.7 gC/m²/year induced by uncertainties in initial SOC% and Bulk_Density, respectively. (3) Both simulated ΔSOC and its uncertainty were negatively correlated with initial SOC%, whereas ΔSOC was negatively correlated with air temperature, and ΔSOC uncertainty was positively correlated with air temperature. (4) The uncertainty of calculated soil carbon credits was much smaller compared with the uncertainty of calculated absolute carbon budgets assuming the same SOC stock uncertainty level in the inputs. Specifically, in our assessment comparing planting cover crops vs no cover crop, the uncertainty of calculated soil carbon credits induced by initial SOC% uncertainty was less than 4% (relative to the quantified value of the soil carbon credits) for 90% of the cases. Our analysis highlights that high accuracy measurement of SOC% as inputs is needed for the calculation of cropland carbon budgets; however, soil carbon credit quantification is much less sensitive to the initial SOC% inputs, and the current publicly available soil datasets (e.g., gSSURGO) are largely suitable for the calculation of soil carbon credits.
... compared with the overall size of the pool and its natural spatial heterogeneity. Therefore, detecting changes in SOC stocks in timescales relevant for the abovementioned activities presents a major challenge (Goidts et al., 2009;Smith, 2004). ...
... Therefore, the correlation between different sampling dates in national inventories often reveals a huge scatter, which can mostly be explained by large plot-scale variability causing random deviations between two sampling events (Heikkinen et al., 2013). Such noise hampers in-depth analyses of the causes of SOC change and should be avoided by minimising the different causes of random errors in SOC stock estimates (Goidts et al., 2009). In essence, soil monitoring systems have to deal with spatiotemporal variability for estimating population means and their changes over time (Lark et al., 2006;Papritz & Webster, 1995a, 1995b. ...
... The average plot-scale variability in SOC contents and stocks observed in this study were comparable to those observed in microplots with a radius of 4 m by (Goidts et al., 2009). The average CV of about 8% ...
Article
Full-text available
Background Detecting changes in soil organic carbon (SOC) stock requires systematic and random sampling errors to be kept to a minimum. Especially in soil monitoring schemes based on soil profiles pits, it is important to understand if a minimum spatial shift of that profile pit during resampling could render resampling errors caused by spatial variability negligible. Aims We aimed at (1) quantifying the random SOC stock error caused by a minimum shift in sampling location of one profile and (2) assessing whether an increase in the number of profile pits to three could significantly decrease the resampling error caused by spatial variability of the relevant parameters. Methods Eight croplands and grasslands in northeast Germany were sampled. Three sampling designs were compared: one profile resampled (1) by one, (2) by three profiles or (3) three profiles resampled by three. In addition, 16 soil cores were taken per site to characterise overall plot‐scale heterogeneity and assess general patterns of spatial dependence of relevant parameters. Results Spatial dependence of all assessed parameters was weak. Accordingly, the resampling of one profile by one induced a high mean absolute error of 5.1 and 7.6 Mg C ha –1 at a 0–30 cm depth for croplands and grasslands (7.5% and 8.5%). This error was reduced by approximately 50% when three profiles were resampled by three profiles. Conclusions Even with the smallest spatial shifts possible, monitoring of SOC stocks relies on replicated resampling to detect management or climate change‐induced trends in reasonable and relevant timescales.
... Advances in remote sensing and SOC modeling have led to new ways to estimate SOC stocks at the scale of landscapes and regions, and have improved our ability to map its horizontal and vertical variability in a quantitative manner (Meersmans et al. 2008;Jarmer 2011, Stevens et al. 2010). However, large uncertainties remain (Goidts et al. 2009b). Quantifying temporal changes in SOC content at larger spatial scales is challenging researchers for several decades now and these changes cannot yet be reliably estimated. ...
... Several studies have identified the conversion factors between wet combustion and total combustion derived SOC values as a source of uncertainty and to differ for different soil texture classes (Brown et al. 2006, Goidts et al. 2009b. No direct comparison between dichromate wet combustion and total combustion derived SOC values has been done for the SDP datasets so that this issue remains a source of uncertainty in this 70 assessment here. ...
... Unlike in the modern re-sampling campaign, no spatial SOC pattern could be identified in the AW database related to modern topography ( Figure 22). This is due to the uncertainty (~ 60m) of the (Goidts et al. 2009b). Considering that the average cropland in the Loambelt has rather short slopes and hence a rapidly changing topography (i.e. Figure 25), each outlined topographic class includes now a random number of soil profiles situated in a different topographic class which prohibits the identification of topography related SOC patterns in the AW. ...
Book
The dynamic and stability of the soil organic carbon (C) reservoir are currently receiving attention in the context of global change. The aim of this thesis was to improve our understanding of the effects of soil redistribution on C dynamics. For this, a multi-scale analysis covering different aspects of the C/Soil redistribution continuum has been conducted. The presented work particularly focused on (i) the identification of controls on C dynamics and C distribution patterns along geomorphic gradients in landscapes affected by soil redistribution, (ii) the different C stabilization mechanisms and (iii) the role of the mineral phase in stabilizing C. A global assessment of the importance of agricultural soil erosion for C dynamics revealed the significance of soil redistribution for carbon studies. It was further demonstrated that it is mandatory to consider various environmental processes to predict C accurately, especially in deeper soil layers. A significantly higher mean residence time for buried C at depositional positions was observed, compared to non-eroding and eroding positions. This resulted from the physical protection of C associated with microaggregates and silt-sized particles. The chemical and mineralogical soil components involved in stabilizing C at various depths, slope positions and fractions differed significantly. Current rates of soil erosion and the associated rejuvenation of soils at eroding sites and burial of soil at depositional sites provide a temporally limited local net sink for atmospheric C by stabilizing C with minerals.
... This small of a measured change can be extremely difficult to detect [10,27,37] as it is within the measurement error of commonly used SOC analytical techniques (i.e., precision ranges from 1.2 to 15.8% for loss-on-ignition, 1.6-4.2% for Walkley-Black, and 1.3-7.1% for dry combustion) [38] and begs the question of whether even state-ofthe-art laboratory tools (i.e., an elemental analyzer coupled to IRMS) have sufficient precision to confidently detect changes in SOC at depth. Small rates of SOC change coupled with a short timeframe for change detection presents an additional layer of complexity, as a significant difference in a system with a slow rate of change could potentially take decades to register [38]. ...
... for Walkley-Black, and 1.3-7.1% for dry combustion) [38] and begs the question of whether even state-ofthe-art laboratory tools (i.e., an elemental analyzer coupled to IRMS) have sufficient precision to confidently detect changes in SOC at depth. Small rates of SOC change coupled with a short timeframe for change detection presents an additional layer of complexity, as a significant difference in a system with a slow rate of change could potentially take decades to register [38]. With most MRV protocols expecting to re-sample soils at 5-year intervals, the chance of confidently picking up real changes in SOC stocks at depth is exceedingly challenging. ...
Article
Full-text available
Background As interest in the voluntary soil carbon market surges, carbon registries have been developing new soil carbon measurement, reporting, and verification (MRV) protocols. These protocols are inconsistent in their approaches to measuring soil organic carbon (SOC). Two areas of concern include the type of SOC stock accounting method (fixed-depth (FD) vs. equivalent soil mass (ESM)) and sampling depth requirement. Despite evidence that fixed-depth measurements can result in error because of changes in soil bulk density and that sampling to 30 cm neglects a significant portion of the soil profile’s SOC stock, most MRV protocols do not specify which sampling method to use and only require sampling to 30 cm. Using data from UC Davis’s Century Experiment (“Century”) and UW Madison’s Wisconsin Integrated Cropping Systems Trial (WICST), we quantify differences in SOC stock changes estimated by FD and ESM over 20 years, investigate how sampling at-depth (> 30 cm) affects SOC stock change estimates, and estimate how crediting outcomes taking an empirical sampling-only crediting approach differ when stocks are calculated using ESM or FD at different depths. Results We find that FD and ESM estimates of stock change can differ by over 100 percent and that, as expected, much of this difference is associated with changes in bulk density in surface soils (e.g., r = 0.90 for Century maize treatments). This led to substantial differences in crediting outcomes between ESM and FD-based stocks, although many treatments did not receive credits due to declines in SOC stocks over time. While increased variability of soils at depth makes it challenging to accurately quantify stocks across the profile, sampling to 60 cm can capture changes in bulk density, potential SOC redistribution, and a larger proportion of the overall SOC stock. Conclusions ESM accounting and sampling to 60 cm (using multiple depth increments) should be considered best practice when quantifying change in SOC stocks in annual, row crop agroecosystems. For carbon markets, the cost of achieving an accurate estimate of SOC stocks that reflect management impacts on soils at-depth should be reflected in the price of carbon credits.
... Moreover, the estimates of soil organic carbon losses and gains as a result of land use changes have been subject of interests within scientific community especially in tropical soil of Nigeria where there is dearth of such information i (Goidts et al., 2009). Hence, the research was aimed to bridge the gap by evaluating the influence of four adjacent land use systems on soil organic carbon stock and structural stability in a tropical Alfisols of Sudan savannah agroecological zone of Nigeria. ...
... The lowest value of pH in PL may be due to its highest microbial oxidation that produces organic acids, which provide H ions to the soil solution and thereby lowers soil pH. Generally, the pH values observed in the study are within the ranges of moderately acidic to neutral soil reactions as indicated by Foth and Ellis (1997). ...
Article
Full-text available
The study was conducted to investigate the influence of four adjacent land use systems (Arable [A], Pasture [PL], Fallow [FL] and orchard [OL]) on carbon stock and structural stability of a tropical Alfisol in Sudan Savannan agro-ecological zone of Nigeria. The result reveals that carbon stock was statistically higher (P = 0.03) in OL and FL than the other two land use systems. Carbon stock in the soil increased by 5.67t ha-1 in OL relative to FL for over 10 years. But in contrary, a decline in carbon stock of 6.4 t ha-1 and 4.9 t ha-1 was observed relative to FL in AL and PL, respectively. This indicates soil carbon stock in the study area lost rapidly under continuous arable cropping than any other land use systems. Correlation analysis showed that soil carbon stock in the study area was significantly explained by clay (r = 0.71**), silt (r = 0.54*) and sand (r = -0.70**) contents. The structural stability index (SI) did not significantly vary (P = 0.195) among the land use systems were all values fell below less than 5% that indicates structurally degraded condition of the soil due to generally small organic carbon content. The structural stability index was significantly related to pH in KCl2, available phosphorus and carbon stock with correlation coefficients (r) of 0.45*, 0.62** and 0.72**, respectively. Therefore, there is a need to adopt proven management practices that enhance and maintain organic carbon content especially in the AL in order to improve productivity and structural stability of the soil vis-à-vis environmental resilience.
... Furthermore, a lack of soil sample homogenization by limited milling facilities (FAO, 2018), or bias due to changing laboratory operators over time (Grahmann et al., 2022), may affect the laboratory result. Changes in field sampling design (Olson et al., 2014), natural heterogeneous soil conditions (Brus, 2021;Poeplau et al., 2016) and landscape heterogeneity and topography (Goidts et al., 2009) may also affect the final SOC determination. Additional challenges of SOC determination in LTEs, which are not addressed in this study, are possible technogenic soil shifts in the sampling area, especially due to tillage, which may cause blurring in marginal areas of adjacent treatments (Sibbesen, 1986;Sibbesen et al., 2000). ...
... The bias due to method changes is important and is highly relevant for SOC stock calculations, especially at the global scale. The methodological differences in sampling, sample preparation, uncertainties in calculating carbon stocks with regard to different tilling depths and bulk densities, and the precision of the laboratory analysis may have a considerable effect on results and trends (Goidts et al., 2009;Körschens et al., 2013) and make global comparisons of land use change almost impossible (Beillouin et al., 2022). Guo and Gifford (2002) conducted a meta-analysis of 537 observations of SOC in 74 studies exploring land use change and found that the diverse (mostly analytical) measurement methodologies limited the authors' conclusions to 'working hypotheses'. ...
Article
Full-text available
Long‐term experiments (LTEs) have provided data to modellers and agronomists to investigate changes and dynamics of soil organic carbon (SOC) under different cropping systems. As treatment changes have occurred due to agricultural advancements, so too have analytical soil methods. This may lead to method bias over time, which could affect the robust interpretation of data and conclusions drawn. This study aims to quantify differences in SOC due to changes in dry combustion methods over time, using soil samples of a LTE established in 1963 that focuses on mineral and organic fertilizer management in the temperate zone of Northeast Germany. For this purpose, 1059 soil samples, collected between 1976 and 2008, have been analysed twice, once with their historical laboratory method right after sampling, and a second time in 2016 when all samples were analysed using the same elementary analyser. In 9 of 11 soil sampling campaigns, a paired t ‐test provided evidence for significant differences in the historical SOC values when compared with the re‐analysed concentrations of the same LTE sample. In the sampling years 1988 and 2004, the historical analysis obtained about 0.9 g kg ⁻¹ lower SOC compared with the re‐analysed one. For 1990 and 1998, this difference was about 0.4 g kg ⁻¹ . Correction factors, an approach often used to correct for different analytical techniques, could only be applied for 5 of 11 sampling campaigns to account for constant and proportional systematic method error. For this particular LTE, the interpretation of SOC changes due to agronomic management (here fertilization) deviates depending on the analytical method used, which may weaken the explanatory power of the historical data. We demonstrate that analytical method changes over time present one of many challenges in the interpretation of time series data of SOC dynamics. Therefore, LTE site managers need to ensure providing all necessary protocols and data in order to retrace method changes and if necessary recalculate SOC. Highlights A total of 1059 LTE soil samples taken between 1976 and 2008 were re‐analysed for SOC in 2016 Several methodological changes for SOC determination led to significant different SOC concentration in the same sample Interpretation and time series of LTE soil data suffer from consideration of analytical method changes and poor documentation of the same Soil archive establishment, thorough method protocols and diligent proficiency testing after soil method changes ameliorate the dilemma
... large uncertainties because they stem from complex interactions between the variables involved in SOC and TN stocks (i.e., the heterogeneity of SOC and TN concentration, bulk density of fine earth, sampling depth and coarse fragment content) (Eswaran et al., 1993). Therefore, it is crucial to determine soil volume occupied by coarse fragment and bulk density (Batjes, 1996;Liski and Westman, 1997;Goidts et al., 2009;Schrumpf et al., 2011). ...
... Coarser fragments such as stones (75−250 mm) and boulders (>250 mm) will not be sampled due to the restricted diameter. Thus, large volume of soil samples is collected to determine the volume occupied by coarse fragment and the uncertainty in SOC stock estimation caused by coarse fragment in agricultural and forest ecosystems (Batjes, 1996;Liski and Westman, 1997;Goidts et al., 2009;Rytter, 2012). ...
... Regression to the mean emerges in SOC surveys because all SOC stock estimates are affected by randomness to some degree. This is in part because the measurements required for calculating the SOC stock-carbon content, bulk density, and rock fraction-all carry significant levels of uncertainty (Goidts et al., 2009). In addition to measurement error, soil sampling is inherently random because samples F I G U R E 1 Regression to the mean illustrated with 20-sided dice. ...
... Blue Difference are collected at the centimeter scale (e.g. by coring), while SOC stocks can vary substantially (10% or more) at the scale of meters (Goidts et al., 2009;Maillard et al., 2017). In combination, measurement and sampling error will inevitably cause the mean SOC stock estimate at a given site to vary randomly from one sampling campaign to the next. ...
Article
Full-text available
Changes in soil organic carbon (SOC) storage have the potential to affect global climate; hence identifying environments with a high capacity to gain or lose SOC is of broad interest. Many cross-site studies have found that SOC-poor soils tend to gain or retain carbon more readily than SOC-rich soils. While this pattern may partly reflect reality, here we argue that it can also be created by a pair of statistical artifacts. First, soils that appear SOC-poor purely due to random variation will tend to yield more moderate SOC estimates upon resampling, and hence will appear to accrue or retain more SOC than SOC-rich soils. This phenomenon is an example of regression to the mean. Second, normalized metrics of SOC change-such as relative rates and response ratios-will by definition show larger changes in SOC at lower initial SOC levels, even when the absolute change in SOC does not depend on initial SOC. These two artifacts create an exaggerated impression that initial SOC stocks are a major control on SOC dynamics. To address this problem, we recommend applying statistical corrections to eliminate the effect of regression to the mean, and avoiding normalized metrics when testing relationships between SOC change and initial SOC. Careful consideration of these issues in future cross-site studies will support clearer scientific inference that can better inform environmental management.
... It is therefore even more challenging to measure and verify changes in SOC stock, which are usually small compared to the total SOC stock and its spatial heterogeneity (Smith, 2004). Of all the parameters mentioned, the one with the largest spatial heterogeneity (both vertically and horizontally) is usually SOC content (Goidts et al., 2009). An appropriate sampling design, which accounts for the scale-dependent in situ variability, is thus crucial for representative sampling and quantification of SOC changes (VandenBygaart andAngers, 2006, VandenBygaart, 2006). ...
... Three parameters are required to estimate SOC stocks at a given soil depth: (1) SOC content, which is usually measured in the fine soil fraction < 2 mm; (2) bulk density (mass of soil per volume); and (3) the fraction of rock fragments > 2 mm, which are usually considered to be free of SOC. An appropriate sampling design for the determination of these parameters for a particular spatial unit depends primarily on the size and properties of that unit (Goidts et al., 2009). Collecting a sample that contains a representative amount of SOC for the spatial unit of interest is not only the major goal, but also a major challenge of soil sampling. ...
Chapter
Full-text available
Accurate estimation of soil organic carbon (SOC) stocks and dynamics along the soil profile is challenging due to the immense diversity and complexity of soils leading to the spatio-temporal variability of the parameters of interest. It is conducted in a wide range of different frameworks with diverse conceptual and analytical approaches. Our purpose in this chapter is to give a broad overview on methods for monitoring, reporting and verifying SOC stocks and their dynamics. This includes sampling and sensing techniques, SOC stock calculation, as well as SOC fractionation and assessment of SOC turnover along the soil profile using stable isotopes and alternative approaches. It is highlighted that certain key operational challenges need to be overcome for the determination of bulk SOC stocks and changes. Some of these issues, e.g. the depth vs. mass-based comparisons were discussed for several decades, while others have been less addressed so far.
... Aldana Jague (2011) a par ailleurs mis en évidence une plus grande imprécision du protocole RMQS, c'est-à-dire une variance d'estimation plus grande, pour les sols présentant des stocks de COS faibles (< 30 TC ha -1 ) ou élevés (> 80 TC ha -1 ) et globalement un fort impact de la mesure de la masse de terre fine par unité de volume. La précision moyenne de la mesure du stock de COS sur un site RMQS, toutefois du même niveau que celles rapportées dans d'autres études (Goidts et al., 2009 ;Schrumpf et al., 2011), pourrait être améliorée en densifiant les prélèvements (Liu et al., 2019). Elle reste cependant élevée si l'on considère la précision attendue sur d'autres dispositifs destinés à de larges territoires, tels que LUCAS Soil, où les composites sont constitués de quatre sous-prélèvements répartis sur un cercle de 2 m de rayon (Orgiazzi et al., 2018). ...
... Ce projet a aussi pour vocation d'améliorer l'estimation de la charge en éléments grossiers des sols à partir d'une sélection de sites répartis sur l'ensemble de la France, durant la deuxième campagne. Cela aboutirait incidemment à améliorer le calcul des stocks de carbone du fait de l'amélioration de l'estimation de la phase caillouteuse et de la masse de terre fine (Goidts et al., 2009). Bien que ce programme ne concerne qu'un peu plus de 5 % des sites du réseau, il est susceptible de faire progresser la connaissance concernant les propriétés physiques qui conditionnent le comportement hydrique des sols français et de permettre, sur environ 120 sites, une modélisation plus fine de ce comportement, et par voie de consé- ...
Article
Full-text available
Les années 90 voient la signature des premiers accords internationaux d’envergure en faveur du climat et c’est à la fin de cette décennie que le Réseau de Mesures de la Qualité des Sols (RMQS) est mis en place. Conçu à l’origine entre autres pour assurer un suivi de l’évolution des propriétés des sols français, dont le carbone organique, le RMQS et ses données afférentes ont produit de nombreuses avancées en matière de cartographie des stocks de carbone organique des sols et d’obtention de valeurs de référence pour les sols français. Ceux-ci comptent, d’après les données de la première campagne (2000-2009), 3,580 ± 0,066 Pg C sur les 30 premiers centimètres du sol. Les données de la deuxième campagne du RMQS, lancée en 2016, vont permettre de caractériser non plus seulement les stocks de carbone organique du sol en place mais aussi leur évolution. Ces données offrent de nombreuses perspectives, qui sont enrichies par l’acquisition de données de gestion sur les sites et grâce aux programmes connexes au RMQS, portant par exemple sur la stabilité biogéochimique de la matière organique des sols, la biodiversité des sols ou encore les propriétés physiques des sols. Grâce à l’exploitation de cet ensemble de données, via des modèles statistiques et mécanistes et sous réserve de moyens humains adéquats, le réseau, atteindra son plein potentiel en matière de suivi du carbone organique des sols et de production de connaissances fondamentales sur la dynamique de la matière organique des sols. Téléchargeable sur sur le site de la revue Etude et Gestion des Sols : https://www.afes.fr/wp-content/uploads/2022/07/EGS_2022_29_Martin_329-352.pdf
... There are soil-survey-based studies indicating the increased risk for SOC losses as a result of a warming climate (Bellamy et al., 2005;Fantappiè et al., 2011;Heikkinen et al., 2013;Prietzel et al., 2016). However, the quantification of climatic impacts has proved difficult most likely due to high uncertainty related to the SOC measurements (Goidts et al., 2009;Smith et al., 2020). ...
... SOC measurements are characterized by high uncertainty due to high spatial variation of the SOC and errors related to sampling and analysis (Goidts et al., 2009). Although in this study sampling plots were located using GPS, sampling was done by trained field technicians, and SOC contents were determined in an accomplished research laboratory, some observed changes in SOC contents between 2009-2018 were up to one order of magnitude greater than what can be considered realistic ( Figure 2). ...
Article
Full-text available
One fourth of the global soil organic carbon (SOC) is stored in boreal region, where climate change is predicted to be faster than the global average. Planetary warming is accelerated if climate change promotes SOC release into the atmosphere as carbon dioxide. However, the soil carbon‐climate feedbacks have been poorly confirmed by SOC measurements despite of their importance on global climate. In this study we used data collected as part of the Finnish arable soil monitoring program to study the influence of climate change, management practices and historical land use on changes in SOC content using a Bayesian approach. Topsoil samples (n=385) collected nationwide in 2009 and 2018 showed that SOC content has decreased at the rate of 0.35% yr‐1 on average. Based on Bayesian modelling of our data we can say with a certainty of 79‐91% that increase in summertime (May‐Sep) temperature has resulted in SOC loss while increased precipitation has resulted in SOC loss with a certainty of 90‐97%. The exact percentages depend on the climate dataset used. Historical land use was found to influence the SOC content for decades after conversion to cropland. Former organic soils with high SOC‐to‐fine‐fraction ratio were prone to high SOC loss. In fields with long cultivation history (>100 years), however, the SOC‐to‐fine‐fraction ratio had stabilized to approximately 0.03‐0.04 and the changes in SOC content leveled off. Our results showed that, although arable SOC sequestration can be promoted by diversifying crop rotations and by cultivating perennial grasses, it is unlikely that improved management practices are sufficient to counterbalance the climate change induced SOC losses in boreal conditions. This underlines the importance of reduction of greenhouse gas emissions to avoid the acceleration of planetary warming.
... Regional governments are even considering incentivizing farmers for carbon sequestration (e.g. the Province of Friesland (2019) in the North of the Netherlands) proposes to pay farmers € 30 per ton CO 2 that is sequestered.) This is challenging as SOM stocks (commonly expressed in Mg (tonnes) of SOM ha − 1 ) are characterized by high levels of spatial heterogeneity and management induced changes in SOM are relatively small as compared to the SOM stock (Goidts et al., 2009;Fornara et al., 2020). Another complicating factor is that the requirements for setting up such a soil monitoring program are not clearly defined. ...
... A cacophony of monitoring advices is available prescribing to use different indicators, analytical methods, sampling designs and to sample different soil layers all depending on the scale and time period in which monitoring should take place (e.g. De Gruijter et al., 2006;Goidts et al., 2009;Hoogsteen et al., 2015;FAO, 2019). Different stakeholders have different questions, expecting different answers which vary in spatial and temporal resolution and every monitoring scheme yields another answer, so a careful selection of methods has to be made in relation to the purpose. ...
Article
Full-text available
To meet the goals of the Climate Agreement, policy makers consider incentivizing soil carbon sequestration by carbon credits to offset greenhouse gas emissions from agriculture, industry and other sectors. Therefore, the interest in monitoring soil organic matter dynamics is growing rapidly, yet factors affecting the precision of the monitoring results are rarely quantified. We used the rhetorical scheme “The seven circumstances” to structure the methodological aspects of setting up a soil organic matter (SOM) monitoring program. The rhetorical scheme was applied during four years on two grass-based dairy farms in the Netherlands to assess in detail: conversion of SOM weight concentrations to SOM stocks (HOW), the effect of soil depth and the integration of scales field vs. farm (WHERE), and the effect of sampling date and sampling frequency on SOM estimates (WHEN). We found that all three circumstances affect conclusions on SOM stocks or SOM dynamics strongly. Considerable variation was found in the relationship between soil bulk density and SOM weight concentration (i.e. pedotransfer curves) among fields, depth and literature reference equations. Therefore, preferably a site specific pedotransfer curve should be used when comparing SOM stocks based on SOM weight concentrations across sites. Large differences in trends of SOM stock changes over time were found between fields and sampling depths. We conclude that a sampling depth in grassland soils up to 60 cm may be relevant to capture the dynamics in deeper layers. Furthermore, for quantitative underpinning of carbon payment schemes, the whole farm should be monitored rather than a few fields as trends between fields are highly variable.
... Yet, debate about what is possible to achieve and how to monitor or verify improvement in SOC has challenged progress on the ground (Rumpel et al., 2020;Zomer et al., 2017). While monitoring standards exist (FAO, 2020), accurate monitoring of SOC stock changes has been constrained by the lack of simple and robust indicators, slow rates of SOC change, (Bruun et al., 2013;Paustian et al., 2019), insufficient precision of SOC stock assessment (Goidts et al., 2009), and the difficulty of determining the long-term persistence of sequestered SOC (Cotrufo et al., 2019). Soils' capacity to store carbon may be vastly overestimated due to models that overpredict carbon stabilization (He et al., 2016), incorrect assumptions about soil conditions (Smith et al., 2005) and a lack of accounting for the finite and reversible nature of SOC sequestration (Powlson et al., 2011). ...
... We identified countries with high potential to sequester SOC in croplands (Zomer et al., 2017), with high potential to protect existing SOC stocks based on areas of high-carbon (organic) soils (Zomer et al., 2017), with high total SOC stocks at 0-30 cm of soil depth (FAO and ITPS, 2018), and with large areas of peat and their estimated carbon stocks (Crump, 2017). The data from Zomer et al. (2017) broadly categorizes countries with high SOC sequestration potentials in croplands, rather than potentials in agricultural lands. ...
Article
Full-text available
Soil carbon is the major active pool of terrestrial carbon, and as such, soil organic carbon (SOC) targets, policies and measures will be pivotal to achieving global climate targets. SOC sequestration may reduce the net annual greenhouse gas emissions from Agriculture, Forestry and Other Land Use by between 3% and 71%, while simultaneously supporting various ecosystem services. Accurate SOC accounting and monitoring, however, is constrained by various technical challenges related to indicators, rates of SOC change, measuring the impact of management practices on SOC, and the long-term persistence of sequestered SOC. We assessed countries’ pledges to the Paris Agreement for SOC in agriculture to better understand the level, transparency, and specificity of commitments. Reviewing 184 countries’ initial Nationally Determined Contributions (NDCs), we considered whether SOC was included, what was pledged, the level of ambition promised and the specificity of mitigation targets. Twenty-eight countries referred to SOC in their NDCs, citing quantified or unquantified mitigation targets, national policies or programs, and actions and measures to be implemented in agricultural lands (14), peatlands (6) or wetlands (14). Countries’ reasons for not including SOC in NDCs included the need to prioritize goals of sustainable development and food security above climate mitigation, a lack of incentives for farmers to improve management practices, and the difficulty of accurately monitoring changes in SOC. Including SOC targets in NDCs can improve NDCs’ comprehensiveness and transparency to track and compare policy progress across NDCs; it can also leverage SOC-related climate finance, technical support, and capacity building. Key policy insights • Many NDCs specify practices known to have the potential to achieve SOC sequestration or protection without explicitly mentioning SOC. The SOC-related mitigation potential of these practices can be quantified in future NDCs. • NDCs are not presently a good indicator of countries’ interest or commitment to SOC action at national level. To improve this, countries with existing SOC policies, programs, and actions can specify their SOC-related commitments in future NDCs. • Increased collaboration between countries with experience managing SOC and countries needing support to develop SOC-related targets, policies, measures and incentives for land users and farmers would facilitate the provision of such needed support. • To increase country commitments and attention to managing SOC, there is a need for improved SOC measurement and monitoring, for better evidence on the impacts of management practices on SOC, and for incentives for farmers to change practices and overcome barriers.
... The impact of these transformations on essential ecosystem services such as biodiversity and soil organic carbon (SOC) reservoirs are unknown, and there is a growing need for quantitative soil information that can inform sustainable monitoring and conservation of these fragile ecosystems. In Colombia, the Eastern Plains (Spanish: Llanos Orientales) are increasingly being converted from natural savannahs to commercial cropland for cash crops (rice, soy bean, and maize), biofuels (oil palm and sugar cane), and rubber (Wassenaar et al., 2007;Garcia-Ulloa et al., 2012). However, past and current challenges in the region such as a prior Guerrilla control (Krakowski and Zubiría, 2018) and restricted access to remote areas due to poor roads and large distances from cities and villages (Rausch, 2014) have contributed to the lack of soils data in the Eastern Plains. ...
... Therefore, it is logical that large estimates of uncertainty among SOC stock predictions were found to be caused by variability in SOC content. Goidts et al. (2009) used an error propagation method to assess the relative contribution of SOC content, sampling depth, bulk density, and rock fragments used in the determination of SOC stock variability in Southern Belgium. Like this study, for non-stony soils they found that the main source of uncertainty in the SOC stock predictions was the SOC content, which represented an average of 47% of the SOC stock variability. ...
Article
Full-text available
In Colombia, the rise of agricultural and pastureland expansion continues to exert increasing pressure on the structure and ecological processes of savannahs in the Eastern Plains. However, the effect of land use change on soil properties is often unknown due to poor access to remote areas. Effective management and conservation of soils requires the development spatial approaches that measure and predict dynamic soil properties such as soil organic carbon (SOC). This study estimates the SOC stock in the Eastern Plains of Colombia, with validation and uncertainty analyses, using legacy data of 653 soil samples. A random forest model of nine environmental covariate layers was used to develop predictions of SOC content. Model validation was determined using the Taylor series method, and root-mean-squared error (RMSE) and mean error (ME) were calculated to assess model performance. We found that the model explained 50.28% of the variation within digital SOC content map. Raster layers of SOC content, bulk density, and coarse rock fragment within the Eastern Plains were used to calculate SOC stock within the region. With uncertainty, SOC stock in the topsoil of the Eastern Plains was 1.2 G t ha⁻¹. We found that SOC content contributed nearly all the uncertainty in the SOC stock predictions, although better determinations of SOC stock can be obtained with the use of a more geomorphological diverse dataset. The digital soil maps developed in this study provide predictions of extant SOC content and stock in the topsoil of the Eastern Plains, important soil information that may provide insight into the development of research, regulatory, and legislative initiatives to conserve and manage this evolving ecosystem.
... To assess the uncertainty of the prediction model in mapping SOC content prediction results, we used the random forest algorithm to calculate the model uncertainty. Uncertainty reflects the robustness of the prediction model and is key to determining the confidence level of the predictions [52]. In this study, the 10-fold cross-validation method was used to determine the magnitude of uncertainty by predicting the SOC content 10 times and calculating the standard deviation. ...
Article
Full-text available
Synthesizing bare soil pictures in regions with complex vegetation is challenging, which hinders the accuracy of predicting soil organic carbon (SOC) in specific areas. An SOC prediction model was developed in this study by integrating the convolutional neural network and long and short-term memory network (CNN-LSTM) algorithms, taking into consideration soil-forming factors such as climate, vegetation, and topography in Hainan. Compared with common algorithmic models (random forest, CNN, LSTM), the SOC prediction model based on the CNN-LSTM algorithm achieved high accuracy (R2 = 0.69, RMSE = 6.06 g kg−1, RPIQ = 1.96). The model predicted that the SOC content ranged from 5.49 to 36.68 g kg−1, with Hainan in the central and southern parts of the region with high SOC values and the surrounding areas with low SOC values, and that the SOC was roughly distributed as follows: high in the mountainous areas and low in the flat areas. Among the four models, CNN-LSTM outperformed LSTM, CNN, and random forest models in terms of R² accuracy by 11.3%, 23.2%, and 53.3%, respectively. The CNN-LSTM model demonstrates its applicability in predicting SOC content and shows great potential in complex areas where obtaining sample data is challenging and where SOC is influenced by multiple interacting factors. Furthermore, it shows significant potential for advancing the broader field of digital soil mapping.
... The large amount of variability makes the quantification of SOC difficult. In looking for potential causes of temporal variance in SOC measurements, one should not rule out systematic and random errors in sampling and sample analysis (Goidts et al., 2009). These can include sample location strategy, clearing of organic materials from top of the mineral surface, sample collection method (determining depth and measuring bulk density if sampling by linear depth from the surface), sample drying temperature, cleaning, sieving, crushing, dividing a sample F I G U R E 4 The variability among monthly sampling for soil organic carbon (SOC) and total monthly precipitation for the Moro, OR, site. ...
Article
Full-text available
Monitoring changes in soil organic carbon over time is important to many agricultural and environmental goals. Despite decades of measurements, temporal variability in soil carbon measurements has not been studied extensively. In this report, we examine five sets of monthly samples extending up to 3 years each that were collected from field experiments at four locations representative of dryland farming in the Pacific Northwest. The variance from month‐to‐month was 15%–32% of the random error, averaging 20%. This was often greater than the variance between replicate experimental units (2%–42%, averaging 17%). At certain sites, sequential samples were found to be temporally autocorrelated, but no consistent trend patterned on seasonal factors like precipitation was found. This suggests that a single point‐in‐time sample can deviate substantially from the long‐term average soil carbon at the site. We illustrate this problem with the results of repeated soil samples taken from 12 commercial farm fields. We recommend that confidence intervals for soil organic carbon estimates should include variance based on a large population of samples rather than from a single sample set at one timepoint.
... The composite sampling approach used most frequently in the studies of the literature evaluation involved the collection of multiple sub-samples in close vicinity to a sampling point and their combination to one composite sample for analysis (Fig. 3 C3). With this approach small scale soil heterogeneity can be addressed (Goidts et al., 2009). Composite sampling can also be used to account for the tree strip and overall system heterogeneity as shown by Oelbermann et al. (2006) in their study of a Costa Rican ACS. ...
Article
Full-text available
Agroforestry systems provide significant ecosystem services, including soil organic carbon sequestration. However, the structural complexity of these systems must be considered when taking samples. In particular, when sampling in the tree- and arable- or grassland strips of alley cropping systems (ACS), different levels of tree influence must be taken into account. The heterogeneity of soil sampling approaches in ACS has been creating challenges for comparability and the integration of findings in meta-analyses. Furthermore, some of the sampling approaches have led to biases of over- or underestimation of the tree influence for the whole system. We evaluated 48 studies of a published meta-analysis on soil organic carbon sequestration in temperate agroforestry systems, to identify, document and quantify potential biases associated with transect soil sampling in ACS. In all of the 23 transect designs evaluated in detail, at least one of the six identified biases was observed. Overall, 10 out of the 23 transect designs did not include soil sampling in the tree strip, which may lead to an underestimation of the tree influence. On the other hand, an overestimation of the tree influence may occur when the tree- and arable strips are not weighted for their respective area shares, which was considered only in three transect designs. To address the identified biases and enhance the accuracy and comparability of soil organic carbon analyses in ACS we propose a standardized guideline for transect sampling in temperate ACS.
... These validations demonstrate that our model's ΔSOC estimates fall within observed ranges in most cases. However, performance is constrained by four key factors: (1) while all ΔSOC data was collected at the plot level (~10-m scale), the absence of localized forcing data required us to employ field-level inputs, namely 250-m GPP and weather data, to drive the model; (2) our estimated ΔSOC represents a combination of crop residue and humus, while the majority of measurements typically focus on humus content; (3) variations in management practices between each plot, such as tillage, fertilizer application, and crop rotation, further complicate field-level estimation, and (4) uncertainty in field-level SOC arises from lab measurement errors (up to 12%), spatial sampling errors (up to 50%), and resampling errors (up to 45%) 42,43 , and can be exacerbated over extended time periods. Despite these challenges, our approach is valuable for mitigating carbon budget quantification errors, driven by its high resolution (250 m) and accuracy (Figs. ...
Article
Full-text available
Accurate and cost-effective quantification of the carbon cycle for agroecosystems at decision-relevant scales is critical to mitigating climate change and ensuring sustainable food production. However, conventional process-based or data-driven modeling approaches alone have large prediction uncertainties due to the complex biogeochemical processes to model and the lack of observations to constrain many key state and flux variables. Here we propose a Knowledge-Guided Machine Learning (KGML) framework that addresses the above challenges by integrating knowledge embedded in a process-based model, high-resolution remote sensing observations, and machine learning (ML) techniques. Using the U.S. Corn Belt as a testbed, we demonstrate that KGML can outperform conventional process-based and black-box ML models in quantifying carbon cycle dynamics. Our high-resolution approach quantitatively reveals 86% more spatial detail of soil organic carbon changes than conventional coarse-resolution approaches. Moreover, we outline a protocol for improving KGML via various paths, which can be generalized to develop hybrid models to better predict complex earth system dynamics.
... Besides these gaps, there are uncertainties in the assessment of the SOM-origin CO 2 emissions due to high spatial variation in SOC and errors related to sampling and analysis (Goidts et al., 2009;Smith et al., 2020). Furthermore, the effects of, e.g., cultivation practices on SOC content are largely site-specific. ...
Article
Full-text available
Introduction Diet has a significant impact on the consumer’s climate impact, and a radical global change in the food system is necessary. However, the change needs to be interpreted and adapted to local conditions. Methods To support national climate policy, we evaluated current Finnish diet and its four alternatives: “current diet”; “meat to half diet”; “meat to a third diet”; “a diet rich in fish and milk”; and “a vegan diet”. We created the FoodMin model to simultaneously address both climate impacts and nutrient uptake and to combine carbon dioxide (CO 2 ) emissions from soil organic matter (SOM) degradation with dietary climate impact assessments. As a well-established assessment method remains lacking, product group-specific estimates for the CO 2 emissions of SOM origin were produced in two different ways, based on long-term observational data or by modelling with the Yasso07. We also examined, using three scenarios, how much the achievement of soil carbon (C) balance in Finnish production could affect the climate impact of the diet. Results and Discussion The climate impact of the current diet was 6.0 kg CO 2 per person per day, and for alternative diets, the change compared with the current diet was −14%, −20%, −31%, and −39% respectively, for “meat to half” (5.2 kg CO 2 eq. per person per day), “meat to third” (4.8), “a diet rich in fish and milk” (4.2), and “the vegan diet” (3.7). SOM-derived CO 2 emissions from domestic fields accounted for 18% of the climate impact of the current diet and up to 23% of alternative diets. In terms of the soil C-balance scenarios, all actions together could mean a 3–13% reduction in the climate impact of the diet: the more products of animal origin, the more reduction opportunities in the diet. With the change in diet, these measures could reduce the climate impact of diets by 13–41%. The nutritional value of a “diet rich in fish and milk” was the best. The study revealed that SOM-induced CO 2 emissions and SOM-related activities play a very important role in the climate impact of the diet and its mitigation; they cannot be ignored in dietary assessments in addition to direct product choices.
... This threshold is based on the uncertainties of SOC measurements methods. 86,87 Results ...
Article
Monitoring changes in soil properties is essential to ensure ecosystem function and agricultural productivity. This study evaluated the ability of visible near infrared (Vis-NIR) spectroscopy to detect the temporal trend in soil organic carbon (SOC) content after 5 years in a 12 km ² agricultural catchment in western France. Partial least squares regression models were developed using soil samples from a local dataset collected in 2013 at two depths (198 samples at 0–15 and 196 samples at 15–25 cm) to predict SOC content of 111 new samples collected in 2018 at the same locations and at similar depths (0–15 and 15–25 cm). Two approaches, which differed in whether or not they considered the SOC content variability that can result from collecting soil samples at two depths, were applied. For both approaches, the potential benefit of “temporal spiking” was evaluated by adding 10% of 2018 samples to the 2013 dataset. The results showed that removing outliers and stratifying the calibration dataset by depth yielded the highest accuracy, with SOC RMSEP of 4.1 and 2.7 g.kg ⁻¹ for 0–15 and 15–25 cm, respectively. Moreover, temporal spiking improved five of eight predictions (stratifying or not the calibration dataset by depth, removing or not poorly predicted outliers), with increases in the ratio of performance to deviation (RPD) of 0.10–0.44. Furthermore, comparing observed and predicted changes in SOC content showed that Vis-NIR spectroscopy estimated its trend over time in most cases.
... These validations demonstrate that our model's ΔSOC estimates fall within observed ranges in most cases. However, performance is constrained by four key factors: (1) while all ΔSOC data was collected at the plot level (~10-m scale), the absence of localized forcing data required us to employ field-level inputs, namely 250-m GPP and weather data, to drive the model; (2) our estimated ΔSOC represents a combination of crop residue and humus, while the majority of measurements typically focus on humus content; (3) variations in management practices between each plot, such as tillage, fertilizer application, and crop rotation, further complicate field-level estimation, and (4) uncertainty in field-level SOC arises from lab measurement errors (up to 12%), spatial sampling errors (up to 50%), and resampling errors (up to 45%) 42,43 , and can be exacerbated over extended time periods. Despite these challenges, our approach is valuable for mitigating carbon budget quantification errors, driven by its high resolution (250 m) and accuracy (Figs. ...
Preprint
Improving the estimation of CO exchange between the atmosphere and terrestrial ecosystems is critical to reducing the large uncertainty in the global carbon budget. Large amounts of the atmospheric CO assimilated by plants return to the atmosphere by ecosystem respiration (Reco), including plant autotrophic respiration (Ra) and soil microbial heterotrophic respiration (Rh). However, Ra and Rh are challenging to be estimated at large regional scales because of the limited understanding of the complex interactions among physical, chemical, and biological processes and the resulting high spatio-temporal dynamics. Traditional approaches for estimating Reco including process-based (PB) models are limited by human knowledge resulting in limited accuracy and efficiency. Accumulation of the in situ observation of net ecosystem exchange (NEE), weather, and soil, and satellite data of GPP, LAI and soil moisture make it possible for applying data driven machine learning (ML) approaches. But the ML model approach has disadvantages of omission of domain knowledge and lack of interpretability. Here we propose a novel knowledge guided machine learning (KGML) method for predicting daily Ra and Rh in the US crop fields. With Gated Recurrent Unit (GRU) as the basis, we develop the KGML models constructing the hierarchical structure of ML with a mass balance constraint. The KGML models were pre-trained using synthetic data generated by an advanced agroecosystem model, ecosys, and re-trained with real-world FLUXNET observation data. We extrapolate the best KGML model to crop fields over the US with the help of satellite data, reanalysis climate forcings, and soil database to reveal the spatio-temporal variations and key controlling factors. We believe this study advances the interpretable machine learning concept for carbon cycle estimation and will shed light on many other process-based biogeochemistry research.
... Compositing samples may reduce standard error, sampling cost, and, if well designed, improve geospatial models of SOC 8,11 . Defining the spatial unit defined by a soil sample remains a challenge, and there is considerable error in measuring SOC in each sample and at larger scales 12 . The conversion of the well-established SOC concentration term (mass of SOC stock (kg)/total mass of the soil (kg) to an SOC stock (kg C ha −1 ) is commonly obtained by multiplying the carbon percentage with the bulk density (BD-expressed as the mass of a relatively undisturbed soil and pore space within a known volume of sample), of a 30 cm fixed-depth sample, and a unit conversion constant 4,13 . ...
Article
Full-text available
Agricultural soils can act as a sink for large quantities of soil organic carbon (SOC) but can also be sources of carbon to the atmosphere. The international standard for assessing SOC stock and measuring stock change stipulates fixed depth sampling to at least 30 cm. The tendency of bulk density (BD) to decrease with decreasing disturbance and increasing SOC concentration and the assumption of constant SOC and BD within this depth profile promotes error in the estimates of SOC stock. A hypothetical but realistic change in BD from 1.5 to 1.1 g cm⁻³ from successive fixed depth sampling to 30 cm underestimates SOC stock change by 17%. Significant effort has been made to evaluate and reduce this fixed depth error by using the equivalent soil mass (ESM) approach, but with limited adoption. We evaluate the error in SOC stock assessment and change generated from fixed depth measurements over time relative to the ESM approach and propose a correction that can be readily adopted under current sampling and analytical methods. Our approach provides a more accurate estimate of SOC stock accumulation or loss that will help incentivize management practice changes that reduce the environmental impacts of agriculture and further legitimize the accounting practices used by the emerging carbon market and organizations that have pledged to reduce their supply chain greenhouse gas (GHG) footprints.
... SOC changes need to be quantified reliably. The high spatial and temporal variability of SOC stocks need to be accounted for, as even within a single agricultural field large variations are possible (Goidts et al., 2009). Moreover, the cultivated crop, its development stage and the time since last fertilization need to be considered as factors that influence SOC levels. ...
Article
Full-text available
Increasing soil organic carbon (SOC) stocks in agricultural soils removes carbon dioxide from the atmosphere and contributes towards achieving carbon neutrality. For farmers, higher SOC levels have multiple benefits, including increased soil fertility and resilience against drought-related yield losses. However, increasing SOC levels requires agricultural management changes that are associated with costs. Private soil carbon certificates could compensate for these costs. In these schemes, farmers register their fields with commercial certificate providers who certify SOC increases. Certificates are then sold as voluntary emission offsets on the carbon market. In this paper, we assess the suitability of these certificates as an instrument for climate change mitigation. From a soils' perspective, we address processes of SOC enrichment, their potentials and limits, and options for cost-effective measurement and monitoring. From a farmers’ perspective, we assess management options likely to increase SOC, and discuss their synergies and trade-offs with economic, environmental and social targets. From a governance perspective, we address requirements to guarantee additionality and permanence while preventing leakage effects. Furthermore, we address questions of legitimacy and accountability. While increasing SOC is a cornerstone for more sustainable cropping systems, private carbon certificates fall short of expectations for climate change mitigation as permanence of SOC sequestration cannot be guaranteed. Governance challenges include lack of long-term monitoring, problems to ensure additionality, problems to safeguard against leakage effects, and lack of long-term accountability if stored SOC is re-emitted. We conclude that soil-based private carbon certificates are unlikely to deliver the emission offset attributed to them and that their benefit for climate change mitigation is uncertain. Additional research is needed to develop standards for SOC change metrics and monitoring, and to better understand the impact of short term, non-permanent carbon removals on peaks in atmospheric greenhouse gas concentrations and on the probability of exceeding climatic tipping points.
... Second, the lower standard deviation value suggests that incorporating CI, Irri and LC as environmental covariates into the prediction model can improve its goodness-of-fit and increase the stability of SOC prediction (Fig. 3). However, the values in the upper part of the TRB region were characterized by high uncertainty, this uncertainty may cause by frequently anthropogenic disturbance (Goidts et al., 2009). Some other agricultural practices that may induce SOC dynamics were not introduced into our model simulation owing to the limited data availability. ...
Article
Accurate mapping of soil organic carbon (SOC) in cropland is essential for improving soil management in agriculture and assessing the potential of different strategies aiming at climate change mitigation. Cropland management practices have large impacts on agricultural soils, but have rarely been considered in previous SOC mapping work. In this study, cropland management practices including carbon input (CI), length of cultivation (LC), and irrigation (Irri) were incorporated as agricultural management covariates and integrated with natural variables to predict the spatial distribution of SOC using the Extreme Gradient Boosting (XGBoost) model. Additionally, we evaluated the performance of incorporating agricultural management practice variables in the prediction of cropland topsoil SOC. A case study was carried out in a traditional agricultural area in the Tuojiang River Basin, China. We found that CI was the most important environmental covariate for predicting cropland SOC. Adding cropland management practices to natural variables improved prediction accuracy, with the coefficient of determination (R 2), the root mean squared error (RMSE) and Lin's Concordance Correlation Coefficient (LCCC) improving by 16.67%, 17.75% and 5.62%, respectively. Our results highlight the effectiveness of incorporating agricultural management practice information into SOC prediction models. We conclude that the construction of spatio-temporal database of agricultural management practices derived from inventories is a research priority to improve the reliability of SOC model prediction.
... Bulk Density (BD) is an important characteristic of soil materials and can be derived from gravimetric and volumetric analyses in the field and laboratory. BD is one of the most important sources to determine SOCstock in agricultural soils (Goidts et al., 2009). However, the accurate and precise determination of BD has been challenging. ...
Thesis
In Malawi, as in many African countries, agricultural activities are in continuous growth. This change in land-use affects the quality of the soil and the ecosystem services it provides. Soil organic carbon (SOC) is an important indicator for assessing soil degradation and process improvement. SOC stock plays an essential role in the underlying delivery of multiple environmental, economic and cultural services. Additionally, assessing SOC stock is important in terms of global climate change. With geostatistical and machine learning techniques, the use of digital soil mapping (DSM) plays an important role in the estimation of soil properties such as SOC stock by providing accurate spatial soil information at a relatively low cost. Moreover, the SCORPAN concept has given rise to a significant research interest in the topic of DSM techniques. Along these lines, the general objective of this thesis is to assess and compare different DSM techniques to estimate SOC content (SOC %), bulk density (BD) and SOC stock (SOCstock) in the Shire Valley of Malawi. This evaluation was carried out for two soil layers (0 – 30 cm topsoil and 0 – 100 cm) in order to identify the predictive power of the models at different depths. First data from 228 legacy soil profiles were analyzed and compared with possible covariates to feed the DSM techniques. The covariates that showed the best relationship with the target properties were variables derived from soil texture (sand, clay and silt). The results showed that the best model to estimate SOC % was Regression Kriging with R2 = 0.49 for the topsoil and R2 = 0.47 for the 0 – 100 cm layer (R2 = 0.80 for the topsoil and R2 = 0.89 for the 0 – 100 cm layer). The best model to estimate SOCstock was Ordinary Kriging with R2 = 0.22 at both depths. Contrary to many studies, it was found that the Random Forest method does not always outperform the conventional regression models in terms of the coefficient of determination (R²). However, it was also shown that the performance of Random Forest depends on the number of sampling points, showing a clear tendency to improve with increasing samples. This improvement was not observed for Multilinear Regression Models, which generally presented larger RMSE and MSE values than Random Forest. In addition, it was shown that it is better to upscale SOCstock estimates using DSM rather than relying on calculations from upscaled SOC % and BD data, and that the estimated SOCstock was more accurate than the data available from ISRIC in the study area. Finally, it was shown that despite the relatively low performance of SOCstock in the validation, its spatial structure is strongly related to land use cover and elevation.
... An exponential model to describe this relationship explained 37% of the observed variation in bulk density, similar to the goodness-of-fit reported by Schrumpf et al. (2011) for agricultural sites in Europe. Consequently, establishing baseline SOC stocks and verifying field-and farm-scale changes in SOC stocks associated with regulations and credit schemes related to GHG offsets calls for information on site-specific soil bulk densities (Post et al., 2001;Goidts et al., 2009;Schrumpf et al., 2011;Walter et al., 2016). However, our reported effects of shifting from mean to site-specific bulk density of JB categories link specifically to Danish agricultural land, which is typically relatively high in sand content and low in rock fragment content, and thus the extrapolation of the quantitative effects to other settings remains uncertain. ...
Article
Full-text available
Management measures to reduce atmospheric carbon dioxide concentrations by increasing soil organic carbon (SOC) storage need verification, e.g., by periodic sampling of soils to estimate resulting changes in SOC stock. Estimates of SOC stocks are affected by content of rock fragments (systematic bias) and soil bulk density (random but significant effect), both of which may vary significantly between soils. We investigated the importance of using site-specific bulk density and correcting for rock fragment content on estimates of SOC stock in 0–50 cm depth of agricultural minerals soils, collected in 2019 in the Danish National Square Grid. We found that use of an average bulk density value for a given soil type category produced valid estimates of SOC stocks for regional/national inventories. However, large variations in bulk density were found within a given soil type category, which can result in over- or under-estimation at local sites. This calls for measurement of site-specific bulk density and rock fragment content to produce valid estimates of field-scale SOC stock, e.g., to be used in farm carbon credit schemes.
... Yet, soils are spatially variable. Hence, the 'signal' of temporal change of soil chemical soil properties is overlain by 'noise', due to spatial variability [1,2]. In order to corroborate whether or not a soil chemical property has changed, it is either possible to analyze a large number of replicates, or to re-sample soils after a long time. ...
Article
Full-text available
Repeated soil surveys provide opportunities to quantify the effect of long-term environmental change. In recent decades, the topics of forest soil acidification as a consequence of acidic deposition, the enrichment of forest ecosystems with nitrogen, and the loss of carbon due to climate change have been discussed. We used two forest soil surveys that were 20 years apart, in order to establish the direction and magnitude of changes in soil carbon, nitrogen, and soil acidity. Soils have been initially sampled in the late 1980s. The plots were revisited twenty years later. Archived soil samples from the first survey were reanalyzed with the same protocol as the new samples. We found changes in the stocks of soil organic carbon, soil nitrogen, and soil pH. However, the changes were inconsistent. In general, as many sites have gained soil organic carbon, as sites have lost carbon. Most soils have been slightly enriched with nitrogen. The soil pH has not changed significantly. We conclude that changes in the evaluated soil chemical properties are mainly driven by forest management activities and ensuing forest stand dynamics, and atmospheric deposition. We have no convincing evidence that climate change effects have already changed the soil organic carbon stock, irrespective of bedrock type.
... There has been a history of measurement challenges with respect to land degradation (Caspari et al., 2015). Even when the problem is reduced to a few sub-indicators, there are enormous measurement challenges for monitoring changes or trends, including the propagation of errors from different sources, for example when measuring soil organic carbon stocks (e.g., Goidts et al., 2009;Lorenz et al., 2019;Schrumpf et al., 2011). Separating real trends and changes from the noise remains a significant challenge, given the multiple sources of errors, including in schemes for estimating soil organic carbon from proxies such as land cover and management (e.g., Eve et al., 2002). ...
... However, detecting SOC changes in Midwest US, and regions around the world dominated by Mollisols, is especially difficult due to large preexisting SOC concentrations (> 2%; Franzmeier, Lemme, and Miles 1985). Tracking a relatively small 'signal' within large 'noise' can be challenging due to slow rates of SOC change and high spatial variability (De et al. 2020;Goidts, Van Wesemael, and Crucifix 2009;Poffenbarger et al. 2020), or even impossible due to potential C saturation (Stewart et al. 2007). For instance, deep soil cores (0-90 cm) taken from this same MFE but in 2014 were analyzed for SOC stocks and found no difference between 4YCS and 2YCS, 146 ± 11 vs. 156 ± 16 Mg C ha −1 (Poffenbarger et al. 2020). ...
Article
Full-text available
Conventional agriculture in the Midwest US lacks diversity, relies heavily on external inputs to maintain crop yields, and contributes to soil and water quality degradation. Using diverse crop rotations and incorporating livestock are promising solutions to these and other problems linked to current cropping systems dominated by maize (Zea mays L.) and soybean (Glycine max (L.) Merr.). To better understand how agricultural diversification comprehensively affects soil health and function, we compared 20 soil health parameters linked to critical soil ecosystem services in 1) a conventional 2-year maize-soybean rotation, and 2) a diverse 4-year maize-soybean-oat (Avena sativa L.)+alfalfa (Medicago sativa L.)-alfalfa rotation that periodically received cattle manure. The strongest and most salient improvements in soil health from the diversified, 4-year cropping system included: 8% reduction in soil resistance to root growth (p = .006), 16% increase in cation exchange capacity (p = .001), 157% increase in salt-extractable soil carbon (p = .024), and 62% increase in soil microbial biomass (p = .017). These comprehensive improvements in general soil functioning coincided with enhanced crop yields, reduced requirement for agricultural inputs, and decreased environmental impacts – all while maintaining profitability. Despite declines in cropping system diversity globally, but especially in the Midwest US, these results provide strong evidence for the benefits of diversification.
... Doetterl et al., 2016;Kirkels et al., 2014;Kuhn et al., 2012a, b;Van Oost et al., 2003). However, Aldana Jague et al. (2016) previously reported that most of the existing SOC dynamic studies focused only on the upper part of the soil profile (mainly topsoil), such as Bellamy et al. (2005), Chartin et al. (2017), Goidts et al. (2009) or Reijneveld et al. (2009). However, subsoil should also be studied owing to its contribution to total SOC stock (Rumpel and Kögel-Knabner, 2011) and understanding SOC distribution with depth and its controlling factors, especially the climate (Meersmans et al. 2009), is essential. ...
Article
Soil organic carbon (SOC) is a significant soil parameter controlling soil quality and productivity and also playing an essential role in the global carbon cycle. Assessment of long-term SOC content and stocks changes is only possible by comparing historical and present data. The Czech Republic holds a national database of agricultural soils completed during the 1960s, which we used to assess SOC changes. SOC content and stock changes were detected after more than 50 years of intensive cultivation in a rolling chernozem landscape prone to soil erosion by re-sampling soil profiles from the 1960s and assessing these changes in relation to local topography. Each re-sampled soil profile was classified based on its position within the terrain, into one of three distinct slope positions namely shoulder slope (SH), backslope (BS), and foot slope (FS) which refers to a predisposition to soil erosion (SH, BS) or deposition (FS). Despite previous studies reporting SOC content decline over the past several decades, our results showed an increase in SOC content in all slope positions, being the most pronounced at FS position. However, median value for the SOC stock significantly decreased at SH positions primarily due to intensive erosion (decreased by 2.82 kg·m⁻²). At the FS positions, the median value for the SOC stock increased but not significantly (increased by 5.51 kg·m⁻²). Changes in the SOC stock were primarily driven by changes in the topsoil depth, which significantly decreased at the SH positions by 22 cm; the opposite result was found for the FS position. The local topography as a driver of SOC stock changes was further supported by the significant correlation between SOC stock changes and topographical attributes (predominantly with Multiresolution Valley Bottom Flatness index, Topographic position index or Topographic wetness index) showing increasing SOC stocks in terrain depressions or valley bottoms due to soil accumulation. We concluded that soil erosion is a dominant process in our study area, explaining the long-term changes in SOC stock.
... Other large DSM projects have not modelled CF explicitly (or not released them to the public) but still map soil bulk density. It is well understood, however, that CF information is required for calculating soil bulk density (Goidts et al., 2009;Patton et al., 2019), and that CF uncertainty can bias dependent calculations such as carbon stocks (Holmes et al., 2011;Patton et al., 2019;Throop et al., 2012). Examples of DSM where bulk density but not CF was modelled are found in the United States (Chaney et al., 2019;Ramcharan et al., 2018), Denmark (Adhikari et al., 2014), Chile , and Australia (Viscarra Rossel et al., 2015). ...
Article
The spatial distribution of soil coarse fragments (CF) is important for a variety of agricultural and environmental applications because it directly impacts soil processes including hydrology and nutrient cycling. However, there is often insufficient measured data to reliably model and map CF using a digital soil mapping approach. By targeting CF layer occurrence rather than CF as a continuous soil property, we increased the number of data sites available for spatial modelling which improved predicted patterns. We define CF layers as hard CF and segre-gations size > 2 mm, > 20% by volume, and > 10 cm thick, the definition of a ferric diagnostic horizon in the Australian Soil Classification system. Highly variable legacy data yielded nearly 40,000 georeferenced sites over the 1 M square kilometre study area. The binary classification models evaluated were random forest using regression trees (probability machines) and classification trees, with and without class balancing. The best performing models were regression forests, followed by classification using the threshold that maximised the Kappa coefficient. Prediction accuracy was determined by validating with a subset of legacy data randomly selected on an unaligned grid and withheld from model training; validation was performed on all modelling methods, plus other available CF digital soil maps. Incorporating low quality legacy observations improved CF predictions significantly. The final maps depict CF layer presence or absence in four depth slices (0-5, 5-15, 15-30, and 30-80 cm) and anywhere within the top 80 cm, for both CF of any composition and specifically for ironstone gravel (sesquioxide nodules). These new maps have high predictive power: ironstone gravel layers had AUC ranging from 0.86 to 0.89, Kappa between 0.48 and 0.52, and overall accuracy from 0.82 to 0.92; for CF layers of mixed composition AUC ranged from 0.79 to 0.84, with Kappa 0.43 to 0.46, and overall accuracy from 0.74 to 0.88. The maps are plausible representations of local variation in soil properties across the landscape. They reflect the expert knowledge encoded in conventional soil maps, and are more locally credible than other available modelled CF maps. Modelling CF as layers rather than continuous properties led to high accuracy spatial representation of simple but still useful soil features for our study area. These soil feature maps complement the quantitative soil property surfaces common to digital soil mapping studies that are frequently constrained by data availability.
... We are not aware, however, of comparable data sets, namely SOC content analysed every ten year, at field scale on all the cropland rather than small plot scale (e.g. Goidts et al., 2009: Gubler et al., 2019. ...
Article
Full-text available
Increasing cropland topsoil organic carbon (SOC) content is a key goal for soil improving quality and adaptating soils to climate change. Moreover, the short term potential of climate mitigation by carbon sequestration is mostly attributed to increasing topsoil SOC content (Balesdent and Arrouays, 1999, Chambers et al., 2016; Minasny et al., 2017; Balesdent et al., 2018). However, the possibility to increase SOC content is highly disputed in current literature which is mostly based on field experiments. We quantified the on-farm SOC content deficit and SOC content change rate of cropland topsoil (0–20 cm) from western Switzerland using the data bases of Geneva and Vaud cantons containing more than 30,000 topsoil analyses, performed every ten years on every cultivated field of the region since 1993. SOC deficit was estimated as the amount of SOC necessary to reach the 0.1 SOC:clay ratio considered as the minimum required SOC amount for acceptable soil quality. Cropland topsoils of the Vaud and Geneva cantons displayed a 20% and 70% SOC content deficit, respectively. In both cantons, the range of observed rates of change in SOC content from 1993 to present was very large, from −30 to +30‰ per year, with a median value of 0. However, the time trends showed a highly significant linear increase of rates from −5‰ to +6‰ per year on average, in 1995 and 2015, respectively, with no change in SOC content reached by 2005–2007. These trends were attributed to the Swiss agri-environmental schemes applied at the end of 20th century, namely mandatory cover crops and minimum rotations of 4 crops. Further, SOC content increase was accordant with the continuing adoption of minimum tillage, conservation agriculture and multi-species intense cover crops. These findings oppose to those obtained in Swiss long-term experiments, which emphasizes the need to use on-farm information when adressing agriculture policy, climate mitigation or soil quality management issues. (-NC-ND license)
Article
Carbon cycle is influenced by agricultural soils, and accurately mapping the soil organic carbon (SOC) content of global Mollisols at a 30 m spatial resolution can contribute to clarifying the carbon sequestration capacity of each region, facilitate the quantification of agroecosystems and contribute to global food security. However, the high heterogeneity of environmental variables in global regions, coupled with the challenges posed by small-sample tasks, creates significant obstacles to producing reliable SOC content datasets. In this study, we collected 191,465 scenes of Landsat TM and OLI images and elevation model data to calculate spectral indices that can represent soil formation information based on a soil-pedogenic model. Second, a local strategy (LS) was proposed to reduce the influence of the high heterogeneity of SOC content and environmental variables on the prediction results. More importantly, the first meta-learning convolutional neural network (ML-CNN) model was proposed. It provides high prediction accuracy for small-sample tasks and was used to generate the first high-resolution global Mollisol region SOC content product (GMR-MCNN). Finally, we compared GMR-MCNN with the existing SoilGrids250m and Soil SubCenter products. The results showed that long-term, high-accuracy and high-resolution prediction of the SOC content in global Mollisol regions was achieved by the ML-CNN model (RMSE = 4.84 g kg-1, R2 = 0.75, RPIQ = 2.43). Compared with a CNN, ML-CNN can continuously optimize the meta-task, thus improving the performance of the model in small-sample tasks. Compared to the prediction model that combined the recursive feature elimination technique with the random forest model (RFE-RF), ML-CNN can efficiently extract high-level features from time-series data, thus improving the model performance. Compared with that of the global strategy, the RMSE of the LS decreased by 0.20 g kg-1, and R2 and RPIQ increased by 13.00% and 0.22, respectively. In addition, the GMR-MCNN results illustrated that the SOC content in the global Mollisol regions shows a decreasing trend, and the trend can be divided into significant decrease (1984–2000) and moderate decrease (2001–2021) phases. Different products were tested based on laboratory-measured SOC contents, and GMR-MCNN (RMSE = 6.13 g kg-1, R2 = 0.63) displayed better performance than SoilGrids250m (RMSE = 23.37 g kg-1, R2 = 0.28) and the Soil SubCenter map (RMSE = 8.59 g kg-1, R2 = 0.43). The developed methodology can provide a reference for the long-term observation of soil and crop properties at moderate and high resolutions globally.
Article
Full-text available
Climate warming leads to widespread permafrost thaw with a fraction of the thawed permafrost carbon (C) being released as carbon dioxide (CO2 ), thus triggering a positive permafrost C-climate feedback. However, large uncertainty exists in the size of this model-projected feedback, partly owing to the limited understanding of permafrost CO2 release through the priming effect (i.e., the stimulation of soil organic matter (SOM) decomposition by external C inputs) upon thaw. By combining permafrost sampling from 24 sites on the Tibetan Plateau and laboratory incubation, we detected an overall positive priming effect (an increase in soil C decomposition by up to 31%) upon permafrost thaw, which increased with permafrost C density (C storage per area). We then assessed the magnitude of thawed permafrost C under future climate scenarios by coupling increases in active layer thickness over half a century with spatial and vertical distributions of soil C density. The thawed C stocks in the top 3 m of soils from the present (2000-2015) to the future period (2061-2080) were estimated at 1.0 (95% confidence interval (CI): 0.8-1.2) and 1.3 (95% CI: 1.0-1.7) Pg (1 Pg = 1015 g) C under moderate and high Representative Concentration Pathways (RCP) scenarios 4.5 and 8.5, respectively. We further predicted permafrost priming effect potential (priming intensity under optimal conditions) based on the thawed C and the empirical relationship between the priming effect and permafrost C density. By the 2070s, the regional priming potentials could be 8.8 (95% CI: 7.4-10.2) and 10.0 (95% CI: 8.3-11.6) Tg (1 Tg = 1012 g) C yr-1 under the RCP 4.5 and RCP 8.5 scenarios, respectively. This large CO2 emission potential induced by the priming effect highlights the complex permafrost C dynamics upon thaw, potentially reinforcing permafrost C-climate feedback.
Article
Full-text available
Grasslands provide a globally essential ecosystem service by storing carbon (C). Management practices affect the net C balance in grasslands positively and negatively. In Japan, farmyard manure (FYM) application and grassland renovation are common and essential grassland management practices; however, the long-term effects of these practices on C balance are not known. We conducted a field experiment in a managed grassland for 11 years (2004-2015) to investigate the effects of FYM application and grassland renovation on net ecosystem C balance (NECB), estimated from eddy covariance measurements. Two experimental plots were used: One received only chemical fertilizer (CF plot), and the other received FYM and supplementary chemical fertilizer (CM plot). Grassland renovation with full inversion tillage was conducted twice during the study period. The C annually removed from the grassland through harvest always exceeded the annual net ecosystem production, resulting in a net C loss (NECB = -1.32 Mg C ha⁻¹ y⁻¹) in the CF plot. In contrast, the CM plot gained net C (NECB = 1.79 Mg C ha⁻¹ y⁻¹) due to FYM application. The difference in ecosystem respiration between the plots suggested that 45% of C in annually applied FYM remained in the soil. The FYM application-mediated C input necessary to avoid net C loss was 3.67 Mg C ha⁻¹ y⁻¹. Grassland renovation conducted twice showed a mixed but relatively minor effect. Grassland renovation had little impact on annual NECB when the degree of sward/soil disturbance was low. In contrast, renovation with a high degree of sward/soil disturbance led to negative NECB in the year of renovation even in the CM plot. Overall, FYM application contributed to a net C gain, and the effect of grassland renovation on annual NECB was likely limited to the years of renovation.
Article
Using soil organic carbon (SOC) to generate carbon offsets requires reliably quantifying SOC sequestration. However, accuracy of SOC measurement is limited by inherent spatial heterogeneity, variability of laboratory assays, unmet statistical assumptions, and the relatively small magnitude of SOC changes over time, among other things. Most SOC measurement protocols currently used to generate offsets for C markets do not adequately address these issues, threatening to undermine climate change mitigation efforts. Using analyses and simulations from 1,117 soil samples collected from California crop and rangelands, we quantified measurement errors and sources of uncertainty to optimize SOC measurement. We demonstrate that (1) spatial heterogeneity is a primary driver of uncertainty; (2) dry combustion assays contribute little to uncertainty, although inorganic C can increase error; (3) common statistical methods—Student’s t-test and its relatives—can be unreliable for SOC (e.g. at low to medium sample sizes or when the distribution of SOC is skewed), which can lead to incorrect interpretations of SOC sequestration; and (4) common sample sizes (10–30 cores) are insufficiently powered to detect the modest SOC changes expected from management in heterogeneous agricultural landscapes. To reduce error and improve the reliability of future SOC offsets, protocols should: (1) require power analyses that include spatial heterogeneity to determine minimum sample sizes, rather than allowing arbitrarily small sample sizes; (2) minimize the use of compositing; (3) require dry combustion analysis, by the same lab for all assays; and (4) use nonparametric statistical tests and confidence intervals to control Type I error rates. While these changes might increase costs, they will make SOC estimates more accurate and more reliable, adding credibility to soil management as a climate change mitigation strategy.
Article
Full-text available
Effects of biochar–compost (B+Com) mixture and cover crop were assessed on soil and grapevine productivity in an irrigated Merlot (Vitis vinifera L.) vineyard in Okanagan Valley, British Columbia (BC), Canada, from 2017 to 2020. The experimental design was a factorial arrangement of control, B+Com, cover crop, and combination of cover crop and B+Com (cover crop/B+Com) treatments in alleys with four replications. The B+Com comprised a 1:1 ratio of biochar and compost and was applied at a rate of 22 Mg ha⁻¹ dry weight basis in May 2017 and 2019. The cover crop consisted of a dryland forage mixture and bird’s-foot trefoil (Lotus corniculatus L.). B+Com treatment did not affect cover crop biomass or tissue C and N concentrations except for a 12% reduction in 2019 biomass. B+Com and cover crop/B+Com increased soil C content averaged across sampling dates by 11% and 17% (P < 0.05), respectively, only at the 0–15 cm soil depth compared with the control. Cover crop treatment did not affect (P < 0.05) soil C content at two soil depths in all sampling dates. Soil N content was not affected by B+Com, decreased by an average of 12.5% at both soil depths with cover crop, and increased with cover crop/B+Com by 4% only at the 0–15 cm soil depth averaged across sampling dates (P < 0.05). Grape yield was increased by 32% by cover crop/B+Com relative to control only in 2020. The cover crop reduced petiole N and pruning weights in one or two years out of three.
Article
Full-text available
Continental shelf sediments store large amounts of organic carbon. Protecting this carbon from release back into the marine system and managing the marine environment to maximize its rate of accumulation could both play a role in mitigating climate change. For these reasons, in the context of an expanding “Blue Carbon” concept, research interest in the quantity and vulnerability of carbon stored in continental shelf, slope, and deep ocean sediments is increasing. In these systems, carbon storage is physically distant from carbon sources, altered between source and sink, and disturbed by anthropogenic activities. The methodological approaches needed to obtain the evidence to assess shelf sea sediment carbon manageability and vulnerability within an evolving blue carbon framework cannot be transferred directly from those applied in coastal vegetated “traditional” blue carbon habitats. We present a toolbox of methods which can be applied in marine sediments to provide the evidence needed to establish where and when marine carbon in offshore sediments can contribute to climate mitigation, focusing on continental shelf sediments. These methods are discussed in the context of the marine carbon cycle and how they provide evidence on: (i) stock: how much carbon is there and how is it distributed? (ii) accumulation: how rapidly is carbon being added or removed? and (iii) anthropogenic pressures: is carbon stock and/or accumulation vulnerable to manageable human activities? Our toolbox provides a starting point to inform choice of techniques for future studies alongside consideration of their specific research questions and available resources. Where possible, a stepwise approach to analyses should be applied in which initial parameters are analysed to inform which samples, if any, will provide information of interest from more resource-intensive analyses. As studies increasingly address the knowledge gaps around continental shelf carbon stocks and accumulation – through both sampling and modelling – the management of this carbon with respect to human pressures will become the key question for understanding where it fits within the blue carbon framework and within the climate mitigation discourse.
Article
Quantifying soil organic carbon stock (SOCS) and total nitrogen stock (STNS) of the agriculture soils in China is crucial for predicting the future of global climate change and planning agricultural management practices. However, most of existing studies were based on relatively coarse soil databases, leading to large discrepancies in the SOC and STN estimates. The present study was conducted in Changting County of Fujian Province in China, covering an area of 29,375ha. A high-resolution soil database at a county-scale of 1:10,000 was employed to analyze the SOC density (SOCD) and STN density (STND) under different soil groups and land use types. Results indicated that the mean SOCD and SOCS for the surface layer (0–15 cm) were 2.93 ± 0.38 kg C m⁻² and 861 Gg, respectively, and those for STND and STNS were 0.37 ± 0.06 kg N m⁻² and 108 Gg, respectively. The SOCD and STND showed significant disparities among soil groups and land use types. We also compared the SOCD, SOCS, STND and STNS estimated from a coarser 1:1000,000 soil database, which was the most detailed soil database at the national scale, with the estimates in this study. The relative deviations in estimating SOCD and STND by soil groups from the coarser database were 8.1% and 60.6%, respectively, which could be primarily attributed to the assignment errors of soil attributes and polygon area variations in the coarse-scale soil database. Moreover, we found that the dominant factors determining SOCD were topographic and climatic factors (e.g. elevation and mean annual precipitation), while farming practices (e.g. N fertilizer application and irrigation conditions) as well as elevation were more important for STN estimates. Further, the deviations of STN estimates from the coarse- and fine-scale soil database were higher than those of the SOC estimates because: (1) The topographic and climatic factors had slight effects on STND from coarse- and fine-scale soil database while significantly affected SOCD; and (2) STN estimates tended to be more easily influenced by anthropic farming practices (e.g. significant irrigation conditions and N fertilization application) in the coarse-scale database than that in the fine-scale database. Therefore, a high-resolution soil database may improve the accuracy of SOC and STN assessment, especially for STN assessment, and the application of finer soil databases is a critical step for estimating SOCS and STNS at national and even global levels, and thus for accurately assessing regional C budget.
Article
Full-text available
Comparison of in situ mid-infrared spectroscopy (MIRS) with laboratory MIRS is required to demonstrate the accuracy of field-scale prediction of soil properties. Application of MIRS to investigate soil management questions must also be tested. Our objectives were therefore to determine i) the accuracy of lab vs in situ calibrations using various numbers of local and/or regional soils for prediction of organic carbon (OC), total nitrogen (TN), clay and pH; ii) effects of soil moisture content and variability on model performance for coarser and finer soils; and iii) if the method of OC determination (dry combustion vs MIRS-estimation) affects evaluation of tillage effects. Surface field MIRS measurements were made at three loess sites in Germany, each featuring three tillage treatments. Material (0-2 cm) was collected for lab MIRS measurements on dried/ground (<0.2 mm) soil and determination of OC, TN, clay and pH. Spectral Principal Component Analysis (PCA) was conducted and partial least squares regression models were created for several calibration strategies: 1) local calibrations trained with n = 40 or 20 soils and tested with n = 110 soils from the same site; 2) regional calibrations trained with n = 150 or 38 soils from two sites and validated with n = 110 soils from the third site; 3) regional calibrations trained with n = 150 or 38 soils from two sites and n = 20 double-or n = 10 quadruple-weighted "spiked" soils selected from the spectral PCA to be representative of the third site, and validation with n = 110 soils also from the third site. Spiking regional calibrations with local soils generally improved accuracy and decreased performance variability, though there were typically diminishing marginal returns to accuracy from increasing the number of local soils. The first two principal components of the lab-MIRS PCA correlated with OC, TN, clay and pH, while the field-MIRS PCA was dominated by soil moisture effects. Lab outperformed field MIRS for all models and properties. Lab MIRS n = 38 regional models were highly accurate for OC (ratio of prediction to interquartile distance (RPIQ) = 4.3) and TN (RPIQ = 6.7), and estimates detected the same significant differences between tillage treatments as analysis conducted with measured values-thus, small regional models can be considered optimal (balancing accuracy and workload). For field MIRS prediction of OC and TN, calibrations with 150 regional or 38 regional plus 10 quadruple-weighted local soils achieved satisfactory accuracy (RPIQ ≥ 1.89). Although predicted changes to OC in response to tillage were more biased for field MIRS, agreement with measured effects was achieved with n = 40 local models or spiked regional models. Thus, the higher efficiency of field measurement is counterbalanced by a more arduous calibration process to achieve satisfactory accuracy. Accuracies for clay (RPIQ = 0.89-2.8) and pH (RPIQ = 0.60-3.2) were lower and more variable than OC and TN for both devices-thus, spiking calibrations and using more soils than OC/TN calibrations are recommended. Soil moisture more negatively affected OC prediction than clay prediction. No simple trend was established for the Abbreviations: ANOVA, analysis of variance; CT, conventional tillage; IQR, interquartile range; KS, Kennard-Stone algorithm; L, local; MIR, mid-infrared; MIRS, mid-infrared spectroscopy; NIR, near-infrared; NT, no-till; OC, organic carbon; OM, organic matter; PLSR, partial least squares regression; PC, principal component; PCA, principal component analysis; RPD, ratio of prediction to deviation; RPIQ (RPIQ V), ratio of prediction to interquartile distance (of validation); RT, reduced tillage; R, regional; RMSE (RMSE V), root mean squared error (of validation); TN, total nitrogen; visNIR, visible/near-infrared; WC, water content. 2 performances of soil subsets with low, high or variable moisture content, but accuracy was most negatively affected by moisture for the site with the highest sand content.
Article
Western hemlock brash decay was investigated over seven years on a UK lowland clay soil site, clear-felled in 2002 for broadleaf restoration. Two brash loadings (9.3 (Heavy) and 5.6 (Light) kg m − 2), a chipped mulch (9.4 kg .m − 2), a seeded grass and a bare soil treatment were incorporated in a fenced split block design. In situ decay of Mulch and Brash was followed by field sampling, and a three year litterbag study of needles, twigs and small branch decay. Natural plant recolonisation was followed in repeated ground surveys within each treatment. Heavy Brash residue lost weight through rain leaching, whilst Light Brash and Mulch gained through the action of decay fungi (Basiodiomycete spp) . The N resource in the Mulch residue 2006 (1660 kg ha−1 of N) was twice that of the Heavy Brash in 2005 (748 kg ha−1of N) from similar initial loadings, with subsequent N and C release from the Mulch resulting in significantly higher soil TN and DOC levels. Above ground uptake was determined using allometric relations of birch height: biomass and ground cover: biomass in 2007. N uptake was initially rapid in the seeded grass plots, where soil TN values were significantly the lowest and soil pH the highest of all treatments in 2005 - an indication that sown grass can be an effective sink for leached N after harvesting. Birch (Betula pendula L) subsequently sequestered >75 kg ha−1 of N in the Light Brash treatments with a further 30 kg ha−1 in the shrub/grass under storey by 2007, representing ~28% of the Light Brash residue N resource. N uptake on the Mulch, with < 50% plant cover, represented
Article
Full-text available
There is a growing demand for high‐quality soil data. However, soil measurements are subject to many error sources. We aimed to quantify uncertainties in synthetic and real‐world wet chemistry soil data through a linear mixed‐effects model, including batch and laboratory effects. The use of synthetic data allowed us to investigate how accurately the model parameters were estimated for various experimental measurement designs, whereas the real‐world case served to explore if estimates of the random effect variances were still accurate for unbalanced datasets with few replicates. The variance estimates for synthetic data were unbiased, but limited laboratory information led to imprecise estimates. The same was observed for unbalanced synthetic datasets, where 20, 50 and 80% of the data were removed randomly. Removal led to a sharp increase of the interquartile range (IQR) of the variance estimates for batch effect and the residual. The model was also fitted to real‐world and total organic carbon (TOC) data, provided by the Wageningen Evaluating Programmes for Analytical Laboratories (WEPAL). For , the model yielded unbiased estimates with relatively small IQRs. However, the limited number of batches with replicate measurements (5.8%) caused the batch effect to be larger than expected. A strong negative correlation between batch effect and residual variance suggested that the model could not distinguish well between these two random effects. For TOC, batch effect was removed from the model as no replicates were available within batches. Again, unbiased model estimates were obtained. However, the IQRs were relatively large, which could be attributed to the smaller dataset with only a single replicate measurement. Our findings demonstrated the importance of experimental measurement design and replicate measurements in the quantification of uncertainties in wet chemistry soil data. Highlights Accurate uncertainty quantification depends on the experimental measurement design. Linear mixed‐effects models can be used as a tool to quantify uncertainty in wet chemistry soil data. Lack of replicate measurements leads to poor estimates of error variance components. Measurement error in wet chemistry soil data should not be ignored.
Article
Rock fragments (RFs, mineral particles with diameter > 2 mm) can substantially influence soil carbon (C) and nitrogen (N) cycles through different physical mechanisms. These physical mechanisms include changing soil hydraulic parameters (vSH) by reducing fine earth bulk density (vSHBD) and volume (vSHVo), and affecting soil C and N stocks (vCN) by reducing fine earth bulk density (vCNBD) and volume (vCNVo), and increasing fine earth C and N concentrations (vCNCo). In this study, based on soil and climate data in a typical hilly area of China, we construct scenarios by considering these physical mechanisms to investigate the responses of key soil C and N outputs (carbon dioxide or CO2, and nitrous oxide or N2O emissions, and N leaching) to RF content (RFC) in DNDC and DayCent models. Results showed that when considering vSH and vCN, parabolic relationships were observed between these soil C and N outputs and RFC, with maximum in RFC = 0.3–0.6 g g⁻¹. The vCN dominated the responses of soil CO2 emission to RFC, while vSH exerted comparative contributions to the responses of soil N2O emission and N leaching. When only considering vSH, opposite contributions of vSHBD and vSHVo were observed in DNDC model, and contributions of vSHBD overwhelmed those of vSHVo. However, vSHVo dominated these responses in DayCent model. When only considering vCN, the vCNCo dominated these responses, though considerable superimposed influences of vCNBD and vCNVo appeared under high RFC. The discrepancies between these responses to RFC in DNDC and DayCent models might be due to the different model complexities in simulating soil hydrology, biogeochemistry, and the role of bulk density in these models. Relatively, more distinct responses were achieved in DNDC model. These findings can extend our understandings of RFs and soil C and N cycles.
Article
Full-text available
Assessment of soil organic C (SOC) stocks is important for monitoring the effect of land use change in the C cycle and for formulation of C sequestration strategies in the context of global climate change. Discrepancies among the recent global SOC estimates by different researchers underscore the importance of precise estimation of the uncertainty associated with the SOC stocks. A method was recently proposed to estimate the SOC storage uncertainty using the Taylor series of approximations. Here we show that the accuracy of SOC storage uncertainty can be improved by incorporating the covariance among the input variables. Measurement of input variables from independent samples or use of an incomplete model leads to either over-or underestimation of the SOC storage uncertainty. The application of the method to an experimental data set indicated that ignoring covariance would lead to a substantial overestimate of the uncertainty.
Article
Full-text available
Organic carbon or organic matter in acidic Tasmanian soils supporting eucalypt plantations was measured by dry combustion using a LECO CHIN-1000 Analyzer (CT), wet oxidation by the Walkley-Black method (CW&B), and loss-on-ignition (LOI at 375°C). CT and CW&B were highly correlated in 119 surface and subsoil samples, and on average, CW&B provided near complete recovery of CT (97%). Although LOI may have released some structural water from the fine-textured soils, and apart from granite-derived soils which need further study, strong regressions were found between LOI and both CW&B and CT which were specific for either basalt or non-basalt soils. Within the non-basalt soils, parent material had little effect on these relationships, although there were large differences in C concentrations of surface soils between soils of different parent materials. The relationships of CW&B and CT to LOI in the basalt soils were significantly different from those in the non-basalt soils.
Article
Full-text available
The threat of global climate change has provoked policy-makers to consider plausible strategies to slow the accumulation of greenhouse gases—especially carbon dioxide (CO2)—in the atmosphere. One such idea involves the sequestration of atmospheric carbon (C) in degraded agricultural soils as part of the Conservation Reserve Program (CRP). While the potential for significant C sequestration in CRP grassland ecosystems has been demonstrated, the paired-site sampling approach traditionally used to quantify soil C changes has not been evaluated with robust statistical analysis. In this study, 14 paired CRP (> 8 years old) and cropland sites in Dane County, Wisconsin, were used to assess whether a paired-site sampling design could detect statistically significant differences (ANOVA) in mean soil organic C and total nitrogen (N) storage. We compared 0 to 10 cm (0 to 3.9 in) bulk density and sampled soils (0 to 5 cm, 5 to 10 cm, and 10 to 25 cm (0 to 2 in, 2 to 3.9 in, and 3.9 to 9.8 in) ) for textural differences and chemical analysis of organic matter (OM), soil organic C (SOC), total N, and pH. The CRP contributed to reducing soil bulk density by 13% (p < 0.0001) and increased SOC and OM storage (kg m-2 (lb ft-2) ) by 13% to 17% in the 0 to 5 cm (2 in) layer (p = 0.1). We tested the statistical power associated with ANOVA for measured soil properties and calculated minimum detectable differences (MDD). We concluded that 40 to 65 paired sites and soil sampling in 5 cm (2 in) increments near the surface were needed to achieve an 80% confidence level (α = 0.05; β = 0.20) in soil C and N sequestration rates. Because soil C and total N storage was highly variable among these sites (CVs > 20%), only a 23% to 29% change in existing total organic C and N pools could be reliably detected. While C and N sequestration (247 kg C ha-1 yr-1 and 17 kg N ha-1 yr-1 (220 lb C ac-1 and 15 lb N ac-1) ) may be occurring and confined to the surface 5 cm (2 in) as part of the Wisconsin CRP, our sampling design did not statistically support the desired 80% confidence level. We conclude that usage of statistical power analysis is essential to insure a high level of confidence in soil C and N sequestration rates that are quantified using paired plots.
Article
Full-text available
On samples from sandy soils of French Gascony (spodosols), we compared results from analytical determination of organic carbon (C) by wet and dry combustion and of organic matter (OM) by loss‐on‐ignition at 550°C. Results demonstrated that wet digestion systematically underestimated C content. Loss‐on‐ignition an wet digestion measurements were well correlated to C content obtained by dry combustion.
Article
Full-text available
Because of the large inherent changes over time and space, non‐systematic sampling in hill country can result in high coefficients of variation (CV 18–55%) in soil phosphorus (P), sulphur (S), and potassium (K) levels between measurements. The components of spatial variability were measured on 20 hill‐country sheep and beef farms. For Olsen P, 38% of the total farm variance was measured within 1 m of a fixed point, 27% within 100 m, and 35% between paddocks within a farm block. With soil pH, quick test K, and sulphate S, there was greater variation within 1 m, less within 100 m, and very little between paddocks, compared with Olsen P. From this information, a sampling protocol was designed to achieve the target CV for Olsen P (15–20%).The sampling protocol was tested on 77 hill‐country farm blocks. At annual intervals up to 5 years after establishment, 100 m transects from within 3 representative paddocks were re sampled at 10‐m intervals from within a 0.3‐m radius of each original sampling position. All soil cores from the 3 transects except those at each end were bulked (n = 27) for one block. Over 4 years on average, the mean CV for change in Olsen P between years was 21.3%. Corresponding CVs for soil pH were 2.2%, soil sulphate S 37.4%, and soil quick test K 26.2%. These results indicate that the use of a scientifically designed and robustly tested soil sampling protocol will minimise the variability in measured soil Olsen P, pH, and other soil test nutrient levels in hill country over time and allow more accurate advice to be provided on fertiliser nutrient requirements.
Article
Full-text available
Pedotransfer functions (PTFs) based on easily measured soil variables offer an alternative for labor-intensive bulk density (BD) measurements. The predictive quality of 12 published PTFs was evaluated using an independent dataset of forest soils (1614 sarnples) from Flanders, Belgium. For all samples, PTF accuracy and precision was calculated, and for topsoil and subsoil samples separately. All functions were found to produce a systematic underestimation of predicted BD, with mean prediction errors (MPEs) ranging between -0.01 and -0.51Mg m-3. Most PTFs performed differently when applied to topsoil or subsoil data. Prediction of topsoil BD showed the highest prediction error. The evaluation demonstrated the poor performance of some published PTFs, and raised concern that the predictive ability of even the better models may not be adequate. Therefore, two candidate PTFs were recalibrated and validated. With recalibration. accuracy improved considerably and showed a near-zero bias, but precision increased only slightly. The best fitted empirical model was based on loss-on-ignition (LOI): BD = 1.775 -0.173(LOI)1/2. Its predictive capacity was not significantly better than the Adams physical two-component model BD= 100/((LOI/0.312) + [(100 -LOI)/1.661]). For the prediction of BD in forest soils, LOI was two times more important than texture variables, and LOI alone accounted for > 55% of the total variation. The lowest root mean squared prediction error (RMSPE) was 0.16 Mg m-3 for LOI-based, and 0.21 Mg m-3 for texture-based models. Separate calibration of topsoil and subsoil layers did not enhance the predictive capacity significantly.
Article
Full-text available
Evaluations of soil organic carbon (SOC) stocks are often based on assigning a carbon density to each one of a number of ecosystems or soil classes considered, using data from soil profiles within these categories. A better approach, in which the use of classification methods by which extrapolation of SOC data to larger areas is avoided, can only be used if enough data are available at a sufficiently small scale. Over 190 000 SOC measurements (0–24 cm) have been made in the Flemish cropland (the Northern part of Belgium) in the 1989–2000 period. These SOC data were grouped into 3-year periods and as means plus standard deviation per (part of) community (polygons). This large dataset was used to calculate SOC stocks and their evolution with time, without data extrapolation. Using a detailed soil map, larger spatial groups of polygons were created based on soil texture and spatial location. Linear regression analysis showed that in the entire study area, SOC stocks had decreased or at best had remained stable. In total, a yearly decrease of 354 kton OC yr−1 was calculated, which corresponds with a net CO2 emission of 1238 kton CO2 yr−1. Specific regions with a high carbon sequestration potential were identified, based on SOC losses during the 1989–2000 period and the mean 1999 SOC content, compared to the average SOC content of soils in Flanders with a similar soil texture. When restoring the SOC stocks to their 1990 level, we estimated the carbon sequestration potential of the Flemish cropland soils to be some 300 kton CO2 yr−1 at best, which corresponds to a 40-year restoration period. In conclusion, we can say that in regions where agricultural production is very intense, carbon sequestration in the cropland may make only a very modest contribution to a country's effort to reduce greenhouse gas emissions.
Article
The threat of global climate change has provoked policy-makers to consider plausible strategies to slow the accumulation of greenhouse gases-especially carbon dioxide (CO2)-in the atmosphere. One such idea involves the sequestration of atmospheric carbon (C) in degraded agricultural soils as part of the Conservation Reserve Program (CRP). While the potential for significant C sequestration in CRP grassland ecosystems has been demonstrated, the paired-site sampling approach traditionally used to quantify soil C changes has not been evaluated with robust statistical analysis. In this study, 14 paired CRP (> 8 years old) and cropland sites in Dane County, Wisconsin, were used to assess whether a paired-site sampling design could detect statistically significant differences (ANOVA) in mean soil organic C and total nitrogen (N) storage. We compared 0 to 10 cm (0 to 3.9 in) bulk density and sampled soils (0 to 5 cm, 5 to 10 cm, and 10 to 25 cm [0 to 2 in, 2 to 3.9 in, and 3.9 to 9.8 in]) for textural differences and chemical analysis of organic matter (OM), soil organic C (SOC), total N, and pH. The CRP contributed to reducing soil bulk density by 13% (p < 0.001) and increased SOC and OM storage (kg m(-2) [lb ft(-2)]) by 13% to 17% in the 0 to 5 cm (2 in) layer (p = 0.1). We tested the statistical power associated with ANOVA for measured soil properties and calculated minimum detectable differences (MDD). We concluded that 40 to 65 paired sites and soil sampling in 5 cm (2 in) increments near the surface were needed to achieve an 80% confidence level (a = 0.05; β = 0.20) in soil C and N sequestration rates. Because soil C and total N storage was highly variable among these sites (CVs > 20%), only a 23% to 29% change in existing total organic C and N pools could be reliably detected. While C and N sequestration (247 kg C ha(-1) yr(-1) and 17 kg N ha(-1) yr(-1) [220 lb C ac(-1) and 15 lb N ac(-1)]) may be occurring and confined to the surface 5 cm (2 in) as part of the Wisconsin CRP, our sampling design did not statistically support the desired 80% confidence level. We conclude that usage of statistical power analysis is essential to insure a high level of confidence in soil C and N sequestration rates that are quantified using paired plots.
Article
Rock fragments in the soil and on the soil surface significantly influence infiltration, runoff, moisture storage, and land use. Physically and chemically, most rock fragments are relatively inert. As diluents of the reactive fine earth matrix, they significantly affect plant growth. Rock fragments also have an important influence on engineering properties for such uses as highways, airports, and housing developments. The objective of this paper is to introduce in the literature some statistics on the amounts and kinds of rock fragments in soils and to point out some of the effects of these fragments on soil behavior.
Article
Sensitive methods are essential to resolve small changes in soil C storage, such as those attained in sequestration projects, against much larger quantities of C already present. To measure temporal changes in C storage we proposed a high-resolution method based on collecting volumetric soil cores from a microsite (4 by 7 m), marking core locations to intersperse multiple cores collected initially and in a subsequent sampling year, rigorous analytical quality control, and calculating soil C pool sizes with proper corrections for unequal soil masses. To evaluate the method, we measured the recovery of 3.64 Mg C ha-1 added as coal dust to microsites. We calculated C stored in successive soil layers of both fixed volume and equivalent mass. We inferred coal C recovery from spatial comparisons between coalamended and unamended plots, and from temporal comparisons between soil samples collected before and after coal addition. The comparisons among C storage showed effective recovery of added coal C, but only for paired temporal differences based on calculations of organic C storage in an equivalent soil mass. With spatial comparisons, coal C became undetectable when soil thickness exceeded 35 cm. With temporal comparisons, coal C recovery ranged from 91 to 106%, provided differences were calculated for successively thicker layers of equivalent soil mass. In contrast, recovery was only 64 to 82% when temporal differences were calculated for layers of fixed soil volume. The method is useful to quantify small temporal changes in soil organic C storage within microsites, and possibly over more extensive areas with sufficient samples to characterize spatial variability.
Article
Organic carbon (C) levels in a Typic Hapludoll under four management systems [moldboard plowing, chisel plowing, no-tillage, and perennial fescue (Festuca arundinacea) pasture] were determined by two methodologies: wet oxidation with potassium dichromate (K2Cr2O7) and dry combustion with a carbon analyzer. The correlation between organic C levels obtained by both methodologies (r=0.87, n=48, p<0.01) rose when agricultural and pasture systems were considered separately. Carbon recovered by Walkley-Black method under pasture was 15% lower than that under the agricultural systems. This difference was attributed to greater amounts of chemical stable organic compounds in pasture residues than in crop residues.
Article
Accurate quantification of soil organic carbon (OC) and nitrogen (N) concentrations are necessary to ascertain the effects of land use, crop rotation systems, and management practices on soil C and N sequestration potential. Soil OC and total N were determined by various methods in a Typic Albaqualf under native tallgrass prairie and agricultural soil cropped to a rice (Oryza sativa L.)–soybean (Glycine max L.)–wheat (Triticum aestivum L.)/soybean rotation that has been annually cultivated for 15, 26, and 44 years. Two wet-oxidation methods, the Walkley-Black (WB) and modified Walkley-Black (mod WB), and high-temperature combustion using a Carlo-Erba and LECO analyzer were used to determine the effects of assessment method on soil OC concentration, while the high-temperature combustion method using the Carlo-Erba and LECO analyzer were used to determine the effects of assessment method on total soil N concentration. Soil OC and total N concentrations determined by high-temperature dry combustion using the Carlo-Erba and LECO analyzers did not differ significantly. Soil OC concentrations determined by the modWB method were generally significantly higher than those from the WB or high-temperature combustion methods. Despite significant linear correlation (r>0.74; p
Article
The threat of global climate change has provoked policy-makers to consider plausible strategies to slow the accumulation of greenhouse gases, especially carbon dioxide, in the atmosphere. One such idea involves the sequestration of atmospheric carbon (C) in degraded agricultural soils as part of the Conservation Reserve Program (CRP). While the potential for significant C sequestration in CRP grassland ecosystems has been demonstrated, the paired-site sampling approach traditionally used to quantify soil C changes has not been evaluated with robust statistical analysis. In this study, 14 paired CRP (> 8 years old) and cropland sites in Dane County, Wisconsin (WI) were used to assess whether a paired-site sampling design could detect statistically significant differences (ANOVA) in mean soil organic C and total nitrogen (N) storage. We compared surface (0 to 10 cm) bulk density, and sampled soils (0 to 5, 5 to 10, and 10 to 25 cm) for textural differences and chemical analysis of organic matter (OM), soil organic C (SOC), total N, and pH. The CRP contributed to lowering soil bulk density by 13% (p < 0.0001) and increased SOC and OM storage (kg m-2) by 13 to 17% in the 0 to 5 cm layer (p = 0.1). We tested the statistical power associated with ANOVA for measured soil properties, and calculated minimum detectable differences (MDD). We concluded that 40 to 65 paired sites and soil sampling in 5 cm increments near the surface were needed to achieve an 80% confidence level (alpha = 0.05; beta = 0.20) in soil C and N sequestration rates. Because soil C and total N storage was highly variable among these sites (CVs > 20%), only a 23 to 29% change in existing total organic C and N pools could be reliably detected. While C and N sequestration (247 kg C ha{-1 } yr-1 and 17 kg N ha-1 yr-1) may be occurring and confined to the surface 5 cm as part of the WI CRP, our sampling design did not statistically support the desired 80% confidence level. We conclude that usage of statistical power analysis is essential to insure a high level of confidence in soil C and N sequestration rates that are quantified using paired plots.
Article
The potential to sequester atmospheric carbon in agricultural and forest soils to offset greenhouse gas emissions has generated interest in measuring changes in soil carbon resulting from changes in land management. However, inherent spatial variability of soil carbon limits the precision of measurement of changes in soil carbon and hence, the ability to detect changes. We analyzed variability of soil carbon by intensively sampling sites under different land management as a step toward developing efficient soil sampling designs. Sites were tilled cropland and a mixed deciduous forest in Tennessee, and old-growth and second-growth coniferous forest in western Washington, USA. Six soil cores within each of three microplots were taken as an initial sample and an additional six cores were taken to simulate resampling. Soil C variability was greater in Washington than in Tennessee, and greater in less disturbed than in more disturbed sites. Using this protocol, our data suggest that differences on the order of 2.0 Mg C ha⁻¹ could be detected by collection and analysis of cores from at least five (tilled) or two (forest) microplots in Tennessee. More spatial variability in the forested sites in Washington increased the minimum detectable difference, but these systems, consisting of low C content sandy soil with irregularly distributed pockets of organic C in buried logs, are likely to rank among the most spatially heterogeneous of systems. Our results clearly indicate that consistent intramicroplot differences at all sites will enable detection of much more modest changes if the same microplots are resampled. Please view the pdf by using the Full Text (PDF) link under 'View' to the left. Copyright © 2003. American Society of Agronomy, Crop Science Society of America, Soil Science Society . Published in J. Environ. Qual.32:278–286.
Article
Approximately 5 yr after planting, coarse root carbon (C) and soil organic C (SOC) inventories were compared under different types of plant cover at four switchgrass (Panicum virgatum L.) production field trials in the southeastern USA. There was significantly more coarse root C under switchgrass (Alamo variety) and forest cover than tall fescue (Festuca arundinacea Schreb.), corn (Zea mays L.), or native pastures of mixed grasses. Inventories of SOC under switchgrass were not significantly greater than SOC inventories under other plant covers. At some locations the statistical power associated with ANOVA of SOC inventories was low, which raised questions about whether differences in SOC could be detected statistically. A minimum detectable difference (MDD) for SOC inventories was calculated. The MDD is the smallest detectable difference between treatment means once the variation, significance level, statistical power, and sample size are specified. The analysis indicated that a difference of â50 mg SOC/cm² or 5 Mg SOC/ha, which is â10 to 15% of existing SOC, could be detected with reasonable sample sizes and good statistical power. The smallest difference in SOC inventories that can be detected, and only with exceedingly large sample sizes, is â2 to 3%. These measurement limitations have implications for monitoring and verification of proposals to ameliorate increasing global atmospheric COâ concentrations by sequestering C in soils.
Book
Scitation is the online home of leading journals and conference proceedings from AIP Publishing and AIP Member Societies
Article
This paper shows how the wavelet transform can be used to analyse the complex spatial covariation of the rate of nitrous oxide (N2O) emissions from the soil with soil properties that are expected to control the evolution of N2O. We use data on N2O emission rates from soil cores collected at 4-m intervals on a 1024-m transect across arable land at Silsoe in England. Various soil properties, particularly those expected to influence N2O production in the soil, were also determined on these cores. We used the adapted maximal overlap discrete wavelet transform (AMODWT) coefficients for the N2O emissions and soil variables to compute their wavelet covariances and correlations. These showed that, over the transect as a whole, some soil properties were significantly correlated with N2O emissions at fine spatial scales (soil carbon content), others at intermediate scales (soil water content) and others at coarse spatial scales (soil pH). Ammonium did not appear to be correlated with N2O emissions at any scale, suggesting that nitrification was not a significant source of N2O from these soils in the conditions that pertained at sampling. We used a procedure to detect changes in the wavelet correlations at several spatial scales. This showed that certain soil properties were correlated with N2O emissions only under certain conditions of topography or parent material. This is not unexpected given that N2O is generated by biological processes in the soil, so the rate of emission may be subject to one limiting factor in one environment and a different factor elsewhere. Such changes in the relationship between variables from one part of the landscape to another is not consistent with the geostatistical assumption that our data are realizations of coregionalized random variables.
Article
This study compared three dichromate‐oxidation methods adapted for use with 100‐mL digestion tubes and 40‐tube block digester (for controlled heating), the Walkley‐Black method, a loss‐on‐ignition procedure and an automated dry combustion method for the determination of organic carbon in soils of the northwestern Canadian prairie. The Walkley‐Black method required a correction factor of 1.40. The modified Tinsley method and the Mebius procedure, adapted for use with 100‐mL digestion tubes, recovered 95% and 98%, respectively, of soil carbon against the dry combustion procedure. The presence of elemental carbon in some soils probably caused, at least partially, the slightly incomplete recovery; thermal decomposition of dichromate may not have been accurately corrected for. A dichromate‐oxidation procedure with controlled digestion at 135°C gave 100% recovery, but somewhat more variable results. The loss‐on‐ignition procedure, even when allowance was made for clay content of the soils, was the least satisfactory of the methods tested. All procedures produced correlation coefficients of 0.980 or better against the dry combustion method.
Article
We compared three methods of estimating soil organic carbon (dichromate oxidation with and without external heating and loss-on-ignition) with a method for total carbon measured in an automated resistance furnace. In 38 surface (0-150 mm) podzolized sands the concentration of total carbon ranged from 0.39 to 4.57% and was highly correlated with all three methods. The loss-on-ignition procedure represents a precise technique for the estimation of organic matter and, when calibrated, organic carbon in surface sandy soils. -from Authors
Article
A total of 191 soil samples, taken in 1964 from grassland of southeastern Ireland, and 220 samples, taken in 1996 from the same area, were examined for soil organic carbon (SOC). Temporal and spatial changes in SOC concentration after the ca. 30-year interval were evaluated using conventional statistics, geostatistics and geographic information system (GIS) analyses.A lognormal distribution feature was observed for both data sets, and the results of a t-test showed that the difference between them was not significant. Spatial outliers were detected with the index of local Moran's I in order to obtain a robust variogram. Kriging was used for spatial prediction for both data sets using the same grid system. The difference between the two maps was determined using map algebraic functions and showed considerable spatial change. The analysis has enabled the delineation of two discrete sectors in the study area, which have clearly behaved differently: mean increase of SOC concentration in the eastern coastal sector was 30.2% compared to a mean decrease of 16.0% in the inland sector. Changes in land use or cultivation did not adequately account for the spatial difference. The division between the sectors appeared to coincide approximately with and to reflect both hill land and geological patterns. A satisfactory explanation for the difference has not been identified.Thus, a combination of geostatistics and GIS map algebra provides a useful tool for the examination of spatio-temporal changes in the environmental sciences and may detect features that are not discernible when only conventional statistics are used.
Article
Spatial patterns and total amounts of soil organic C (SOC) are important data for studies of soil productivity, soil hydraulic properties, and the cycling of C-based greenhouse gases. This study evaluated several approaches for characterizing SOC to determine their relative merits. The first approach entailed grouping data from a global pedon SOC database by type of ecosystem, resulting in a total of 78.0 Pg of C (Pg = 1015 g) to 1-m depth for the contiguous USA. In a second approach, a pedon database was aggregated using soil taxonomy, resulting in a total for the contiguous USA of 80.7 ± 18.6 Pg of C when the great group SOC was spatially distributed with Major Land Resource Areas (MLRAs) using the 1982 National Resource Inventory (NRI) and the Soil Interpretation Record databases. The third approach used pedon and spatial data from a global soil map grouped by soil unit that resulted in 84.5 Pg of C for the contiguous USA. Although the ecosystem and soil taxonomic approaches resulted in similar totals, the taxonomic approaches are recommended because they gave more realistic results in areas of Histosols, shallow soils, and soils with high rock fragment content. The ecosystem approach did not give reliable spatial patterns and is only useful for very broad-scale work where precisely georeferenced data are not needed. Grouping data by great group provided more information than grouping by order or suborder. The approach based on soil taxonomy is very useful because it is based on the NRI statistical framework and it allows stratification by other NRI items, such as land use and vegetation.
Article
A simple exact formula for the variance of the product of two random variables, say, x and y, is given as a function of the means and central product-moments of x and y. The usual approximate variance formula for xy is compared with this exact formula; e.g., we note, in the special case where x and y are independent, that the “variance” computed by the approximate formula is less than the exact variance, and that the accuracy of the approximation depends on the sum of the reciprocals of the squared coefficients of variation of x and y. The case where x and y need not be independent is also studied, and exact variance formulas are presented for several different “product estimates.” (The usefulness of exact formulas becomes apparent when the variances of these estimates are compared.) When x and y are independent, simple unbiased estimates of these exact variances are suggested; in the more general case, consistent estimates are presented.
Article
In long-term field studies, the number of soil samples required to detect a specified change is often determined by using the standard error of a soil property from a pilot study. When a soil property is highly variable, estimates of its standard error will also be highly variable. Therefore, it is useful to have confidence limits for the standard error in sample size calculations. We explored the empirical distribution of the standard error for several soil properties (total carbon pools, exchangeable cation pools, and forest floor element pools) using data collected from a northern hardwood forest site in central New Hampshire. We used a bootstrapping routine to simulate data sets for sample sizes ranging from 6 to 60 soil pits and computed the mean, 95%, and 98% confidence limits for the percentage change detectable by a specified number of sample observations. Using the 95% confidence limit for standard error resulted in as much as a twofold increase in the sample size requirement compared with the average standard error. For normally distributed data, the bootstrap confidence limits of standard error agree with those computed analytically. In the presence of modest nonnormality in the data, however, the analytic confidence limits do not agree with the bootstrap limits. Since the bootstrap procedure is free of parametric assumptions, it is a useful tool for general application in soil science. (C) Williams & Wilkins 1990. All Rights Reserved.
Article
The diverse nature of soils introduces uncertainty into the estimation of soil organic carbon (SOC) storage. Laboratory analyses indicate C concentration in soils, but the soil layer thickness, bulk density, and percent of fragments > 2 mm must be known in order to estimate SOC storage. Ideally, measurements of SOC concentration are performed on the same soil samples used to determine bulk density and percent of fragments > 2 mm, but this is frequently not possible. Often measurements of SOC concentration, bulk density, and percent of fragments > 2 mm are obtained separately from the same soil layer, which causes propagation of error when estimating SOC storage. Furthermore, measurements of bulk density and percent of fragments > 2 mm are more difficult to obtain than measurements of SOC concentration. Because of this, samples of bulk density and percent of fragments > 2 mm are often taken independently and less frequently than samples for SOC concentration. The objective of this study was to derive an estimation method for the variability in SOC storage estimates as a function of SOC concentration, bulk density, percent of fragments > 2 mm, and soil thickness. The method of statistical differentials, also known as the delta method, was used to obtain an estimate of the variability in SOC storage estimates. The variance estimation procedure is illustrated using previously published data for the Russian Chernozem under different management regimes. The method of statistical differentials is a valuable tool for obtaining variance estimates in a large class of problems with similar characteristics.
Article
Uncertainty was quantified for an inventory estimating change in soil organic carbon (SOC) storage resulting from modifications in land use and management across US agricultural lands between 1982 and 1997. This inventory was conducted using a modified version of a carbon (C) accounting method developed by the Intergovernmental Panel on Climate Change (IPCC). Probability density functions (PDFs) were derived for each input to the IPCC model, including reference SOC stocks, land use/management activity data, and management factors. Change in C storage was estimated using a Monte-Carlo approach with 50 000 iterations, by randomly selecting values from the PDFs after accounting for dependencies in the model inputs. Over the inventory period, mineral soils had a net gain of 10.8 Tg C yr−1, with a 95% confidence interval ranging from 6.5 to 15.3 Tg C yr−1. Most of this gain was due to setting-aside lands in the Conservation Reserve Program. In contrast, managed organic soils lost 9.4 Tg C yr−1, with a 95% confidence interval ranging from 6.4 to 13.3 Tg C yr−1. Combining these gains and losses in SOC, US agricultural soils accrued 1.3 Tg C yr−1 due to land use and management change, with a 95% confidence interval ranging from a loss of 4.4 Tg C yr−1 to a gain of 6.9 Tg C yr−1. Most of the uncertainty was attributed to management factors for tillage, land use change between cultivated and uncultivated conditions, and C loss rates from managed organic soils. Based on the uncertainty, we are not able to conclude with 95% confidence that change in US agricultural land use and management between 1982 and 1997 created a net C sink for atmospheric CO2.
Article
There is considerable interest in the computation of national and regional soil carbon stocks, largely as the result of the provisions of the Kyoto Protocol. Such stocks are often calculated and compared without proper reference to the uncertainties induced by different analytical methodologies. We illustrate the nature and magnitude of these uncertainties with the present soil organic carbon (SOC) study in Belgium. The SOC recovery of the Walkley-Black method was investigated based on a database of 475 samples of silt loam and sandy soils, which cover different soil depths and vegetation types in northern Belgium. The organic carbon content of the soil samples was measured by the original Walkley-Black method and by a total organic carbon analyser. The recovery was computed as the ratio of these two results per soil sample. Land use, texture and soil sampling depth had a significant influence on the recovery as well as their three-way interaction term (land use × texture × sampling depth). The impact of a land use, texture and sampling depth dependent Walkley-Black correction on the year 2000 SOC inventory of Belgium was determined by regression analysis. Based on new correction factors, the national SOC stocks increased by 22% for the whole country, ranging from 18% for cropland to 31% for mixed forest relative to the standard corrected SOC inventory. The new recovery values influenced therefore not only C stocks in the year 2000, but also the expected SOC change following land use change. Adequate correction of Walkley-Black measurements is therefore crucial for the absolute and comparative SOC assessments that are required for Kyoto reporting and must be computed to take into account the regional status of soil and land use. ‘Universal’ corrections are probably an unrealistic expectation.
Article
The value of nested sampling for exploring the spatial structure of univariate variation of the soil has been demonstrated in several studies and applied to practical problems. This paper shows how the method can be extended to the multivariate case. While the extension is simple in theory, in practice the direct estimation of covariance components by equating mean-square matrices with their expectation will often lead to estimates that are not positive semidefinite. This paper discusses solutions to this problem for balanced and unbalanced sample designs. In the balanced case there is a residual maximum likelihood (REML) estimator that will find estimates of covariance components that maximize an overall likelihood on the condition that all components are positive semidefinite (p.s.d.). This is possible because the condition is met if the differences of successive mean-square matrices are positive semidefinite, and this constraint can be incorporated into an algorithm. This does not hold for unbalanced designs. In this paper the problem was solved for unbalanced designs by scaling covariance components that were not p.s.d. to the nearest p.s.d. matrix according to a Euclidean distance. These methods were applied to data from three surveys, two with balanced and one with unbalanced sampling. Different patterns of scale-dependence of the correlation of soil properties were found. For example, at Ginninderra Experimental Station in Australia the soil water content and bulk density were correlated significantly, with the correlation increasing with distance to 56 m, but at longer distances the properties were not significantly correlated. By contrast, the pH of the soil and the available P content showed correlation that increased with distance. The implications of these results for planning more detailed sampling, both for prediction and for investigation of processes, are discussed.
Article
Soils can be used as a biospheric sink for carbon under Article 3.4 of the Kyoto Protocol and parties are able to use agricultural soil carbon sinks to contribute towards carbon emission reduction targets. This should be done ‘taking into account uncertainties, transparency in reporting, and verifiability’. Models are often tested against data sets of long-term changes in soil organic carbon (SOC), but most data sets have only mean SOC values available at each sample date, with no estimates of error about the mean. We show that when using data sets that do not include estimates of error about the mean, it is not possible to reduce the error (root mean squared error) between modelled and measured values below 6.8–8.5%, even with site-specific model calibration. Equivalent errors for model runs using regional default input values are 12–34%. Using error as an indicator of the certainty that can be attached to model projections, we show that a significant reduction in uncertainty is needed for Kyoto accounting. Uncertainties for modelling during the first Kyoto Commitment Period could be reduced by better replication of soil measurements at benchmark sites. This would allow model error to be separated from measurement error, which would allow more comprehensive model testing and, ultimately, more certainty to be attached to model predictions.
Article
The total amount of carbon held by vegetation in Great Britain is estimated to be 114 Mtonnes. • Woodlands and forests hold 80% of the G.B. total although they occupy only about 11% of the rural land area. Broadleaf species hold about 50% of the carbon in woodlands and forests. • A map of carbon in the vegetation of Great Britain at 1 km×1 km resolution based on land cover identified in the I.T.E. Land Cover Map is presented. The predominant location of vegetation carbon is the broadleaved woodlands of southern England. • The amount of carbon in the soils of Great Britain is estimated to be 9838 Mt (6948 Mt in Scotland and 2890 Mt in England and Wales). • In Scotland, most soil carbon is in blanket peats, whereas most soil carbon is in stagnogley soils in England and Wales. • The carbon content of the soils of Great Britain is mapped at 1 km×1 km resolution. Scottish peat soils have the greatest density of carbon and in total contain 4523 Mt of carbon, 46% of the G.B. total.
Article
Models are central to global change analyses, but they are often parameterized using data that represent only a portion of heterogeneity in a region. This creates uncertainty in the results and constrains the reliability of model inferences. Our objective was to evaluate the uncertainty associated with differential scaling of parameterization data to model soil organic carbon stock changes as a function of US agricultural land use and management. Specifically, we compared analyses in which model parameters were derived from field experimental data that were scaled to the entire US vs. the same data scaled to climate regions within the country. We evaluated the effect of differential scaling on both bias and variance in model results. Model results had less variance by scaling data to the entire country because of a larger sample size for deriving individual parameter values, although there was a relatively large bias associated with this parameterization, estimated at 2.7 Tg C yr−1. Even with the large bias, resulting confidence intervals from the two parameterizations had considerable overlap for the estimated national rate of SOC change (i.e. 77% overlap in those intervals). Consequently, the results were relatively similar when focusing on the uncertainty rather than solely on the mean estimate. In contrast, large biases created less overlap in confidence intervals for the change rates within individual climate regions, compared with the national estimates. For example, the overlap in resulting intervals from the two parameterizations was only 32% for the warm temperate moist region, with a corresponding bias of 3.1 Tg C yr−1. These findings demonstrate that there is a greater risk of making erroneous inferences because of large biases if models are parameterized with broader scale information, such as an entire country, and then used to address impacts at a finer spatial scale, such as sub-regions within a country. In addition, the study demonstrates a trade-off between variance and bias in model results that depends on the scaling of data for model parameterization.
Article
We describe the development and application of an integrated data and modelling system for estimating soil carbon (C) fluxes from mineral soils caused by changes in climate, land use and land management at 1-km resolution in the UK (RothCUK). The system was developed with the aim of improving methods for United Nations Framework Convention on Climate Change (UNFCCC) and Kyoto Protocol accounting and integrates national scale data sets of soil properties, land use and climate with the Rothamsted carbon model (RothC). A preliminary estimate of soil C fluxes because of land use change (LUC) over the period 1990–2000 is presented as an example application of the system. RothCUK shows LUC to be a net source of CO2 from 1990 to 2000 although the RothC estimate was smaller (6488 kt C) than the estimate from the single exponential model (SEM) method currently used to calculate C fluxes due to LUC for the UK National Greenhouse Gas Inventory (mean: 9412 kt C). Based on previous studies, an uncertainty range in our estimates of ±50–100% seems plausible. In agreement with the SEM, RothCUK suggests that the largest single contributor to soil C fluxes from LUC was conversion of grassland to arable land. Differences between the results may be attributed to differences in the two models and the assumptions and underlying data used in making the calculations. The RothCUK system provides a powerful method for estimating changes in soil C stocks, enabling areas and management systems with particularly large changes in soil C stocks to be located at fine resolution.
Article
The soil of south-east Scotland is locally deficient in copper and cobalt. Measurements from nearly 3000 fields for which the soil association is known were analysed to study the coregionalization of the two elements and to assess the influence of parent material on the metals' concentrations. The experimental auto- and cross-variograms revealed distinct local (1.5 km) and regional (20 km) scales of spatial variation. A combination of indicator variograms of the soil associations had the same spatial structures, suggesting that parent material influences the concentrations of the metals. The coregionalization between copper and cobalt was modelled as a linear combination of three spatial structures. The resulting structural correlation coefficients showed the two elements to be fairly strongly positively correlated at the regional scale. Kriging allowed determination and mapping of each spatial component; these maps were then compared with the spatial distribution of soil associations in the region. An analysis of variance was performed before and after filtering out the nugget and short-range spatial components. Classification by soil association (parent material) accounted for a large proportion of the variance at the regional scale, suggesting that the parent material contributes substantially more to the trace element content of the soil than had been thought earlier.
Article
When planning sampling in an experiment where soil organic carbon (SOC) content is expected to change, it is necessary to know how many samples will need to be taken to demonstrate a change in SOC and after how long this change will be detectable. Much has been published on the number of samples required to demonstrate the minimum detectable difference in SOC, but less on how long it takes for this change to be detectable. In this paper, a model of SOC dynamics is used to estimate the minimum time taken for a change in total SOC content to become measurable under different carbon inputs, land uses and soil types. For free air carbon dioxide enrichment (FACE), and other experiments in which SOC is expected to increase, relationships between the percentage change in C inputs and the time taken to measure a change in SOC are presented, for two levels of sampling intensity corresponding to the maximum that is practically possible in most experiments (∼100 samples) and that used regularly in field experiments (10–20 samples). In FACE experiments, where C inputs increase by a maximum of about 20–25%, SOC change could be detected with 90% confidence after about 6–10 years if a sampling regime allowing 3% change in background SOC level (probably requiring a very large number of samples) were used, but could not be detected at all if a sampling regime were used that allowed only a 15% change in background SOC to be detected. If increases in C inputs are much below 15%, it might not be possible to detect a change in soil C without an enormous number of samples. Relationships between the change in C inputs and the time taken to measure a change in SOC are robust over a range of soil types and land uses. The results demonstrate how models of SOC dynamics can be used to complement statistical power analyses for planning when, and how intensively, to sample soils during experiments. An advantage of the modelling approach demonstrated here is that estimates of the minimum time taken for a change in soil carbon to become detectable can be made, even before any detailed soil samples are taken, simply from estimates of the likely increase in carbon inputs to the soil (via expected changes in net primary production).
Article
Within the United Nations Framework Convention on Climate Change, articles 3.3 and 3.4 stipulate that some voluntary activities leading to an additional carbon (C) sequestration in soils could be accounted as C sinks in national greenhouse gas inventories. These additional C stocks should be verifiable. In this work, we assess the feasibility of verifying the effects of changes in land use or management practice on soil organic carbon (SOC), by comparing minimum detectable changes in SOC concentration for existing European networks suitable for soil monitoring. Among the tested scenarios, the minimum detectable changes differed considerably among the soil-monitoring networks (SMNs). Considerable effort would be necessary for some member states to reach acceptable levels of minimum detectable change for C sequestration accounting. For SOC, a time interval of about 10 years would enable the detection of some simulated large changes in most European countries. In almost all cases, the minimum detectable change in SOC stocks remains greater than annual greenhouse gases emissions. Therefore, it is unlikely that SMNs could be used for annual national C accounting. However, the importance of organic C in soil functions, and as an indicator of soil condition and trends, underlines the importance of establishing effective national SMNs.
Article
Because of the large spatial and temporal variability of soil organic carbon (SOC) dynamics, a modelling approach is crucial in detailed regional analyses. Several estimates of regional scale SOC sequestration potential have been made using dynamic soil organic matter (SOM) models which have been linked to spatial databases contained within a Geographic Information System. In all these previous studies, a large-scale model validation, which provides information on the general model performance for the study area under concern, was impossible because of lack of data. A data set of over 190 000 SOC measurements, grouped as means per community and covering the period 1989–2000 was available for Flanders in northern Belgium. In order to validate the DNDC model at a large spatial scale, we used this data set along with detailed pH, soil texture and crop areas which were all available at the municipality scale to simulate SOC stocks for the entire study area during the period 1990–2000. A minor adjustment of the initial distribution of SOC in the model's SOC pool was necessary to fit the simulated SOC stock changes to the measured decrease of −475 kg OC ha−1 year−1 (0–30 cm). Although DNDC was able to simulate the SOC stock changes well for the whole study area, the simulated decrease in the SOC stocks was overestimated for communities predominantly having sandy textures and underestimated for communities with silt loam to silt textures. This study also urges caution with the application of SOM models at regional scales after limited validation or calibration at the field scale as these do not guarantee good simulation of spatial variation in SOC changes.