ArticlePDF Available

Survey of Scan Chain Diagnosis

Authors:
  • Mentor A Siemens Business

Abstract and Figures

Scan-based testing has proven to be a cost-effective method for achieving good test coverage in digital circuits. The Achilles heel in the application of scan-based testing is the integrity of the scan chains. From 10% to 30% of all defects cause scan chains to fail, and chain failures account for almost 50% of chip failures. Therefore, scan chain failure diagnosis is important for effective scan-based testing. Chain patterns alone are sufficient to determine the fault type, but they are insufficient to pinpoint the index of a failing flip-flop. This is the fundamental motivation for chain failure diagnosis, which is the process of identifying one or multiple defective scan cells in a scan chain or defective scan-enable or clock signals. This article surveys chain fault diagnosis techniques. The authors classify these techniques into three categories: tester based, hardware based, and software based.
No caption available
… 
Content may be subject to copyright.
1
Survey of Scan Chain Diagnosis
Yu Huang
Mentor Graphics Corporation, 300 Nickerson Rd., Marlborough, MA, 01752, USA
Tel: 1-508-303-5513, Fax: 1-508-480-0882, Email: Yu_Huang@mentor.com
Ruifeng Guo
Mentor Graphics Corporation, 8005 S.W. Boeckman Rd., Wilsonville, OR, 97070, USA
Tel: 1-503-685-0724, Fax: 1-503-685-1654, Email: Ruifeng_Guo@mentor.com
Wu-Tung Cheng
Mentor Graphics Corporation, 8005 S.W. Boeckman Rd., Wilsonville, OR, 97070, USA
Tel: 1-503-685-1078, Fax: 1-503-685-1654, Email: Wu-Tung_Cheng@mentor.com
James C.-M. Li
EE Building 2, Rm. 339, Department of Electrical Engineering, National Taiwan
University, 1, Sec. 4, Roosevelt Road, Taipei, Taiwan 106
Tel: 886-2-23635251 ext. 339, Fax: +886-2-23687664, Email: cmli@cc.ee.ntu.edu.tw
Abstract
In this paper, we reviewed various techniques of scan chain diagnosis. The reviewed paper and patents
are classified into different categories. The advantages and disadvantages of each category of technologies
are discussed. We also proposed several future research directions in this area.
Keywords: Scan Chain, Chain Diagnosis, Survey, Chain Pattern, Scan Pattern
1. Introduction
Scan-based testing has proven to be a cost-effective method to achieve good test coverage in digital
circuits. The Achilles’ heel for the application of scan-based testing is the integrity of the scan chains. The
amount of die area consumed by the scan elements, chain connections, and control circuitry may vary with
different designs. [KUN93] reported that scan elements and clocking may occupy nearly 30% of a chip area.
The percentage of scan chain defects could also vary with different designs. [GUO01] reported that 10-30%
defects cause scan chains to fail, while [YAN05] reported that chain failures account for almost 50% of
chip failures. Therefore, scan chain failure diagnosis becomes an important topic.
Typically each scan cell in a scan chain is given an index, as shown in Figure 1(a). The cell connected to scan-
output is numbered 0 and the cells in the chain are numbered incrementally from scan-output to scan-input
sequentially. A chain pattern is a pattern consisting of shift-in and shift-out without pulsing capture clocks. The
purpose of chain patterns is to test scan chain integrity. In some prior art, chain patterns are also called flush
patterns [STA01]. A scan pattern is a pattern consisting of shift-in, one or multiple capture clock cycles, and shift-
out. The purpose of scan patterns is to test system logic. So scan patterns and logic test patterns have the same
meaning and are used interchangeably. The scan cells between the scan chain input and the scan input terminal of a
scan cell are called the upstream cells of this scan cell, while the scan cells between the scan chain output and the
scan output terminal of a scan cell are called the downstream cells of this scan cell.
2
The scan chain fault models include stuck-at faults (stuck-at-0/stuck-at-1), slow faults (slow-to-
rise/slow-to-fall/slow) and fast faults (fast-to-rise/fast-to-fall/fast) [GUO01]. Slow faults are caused by
setup-time violations while fast faults are caused by hold-time violations. Slow and fast faults are also
called timing faults. With a specific fault model, a scan chain defect can also be modeled with permanent
fault (the fault happens for all shift cycles) and intermittent fault (the fault only happens for a subset of
shift cycles) [HUA03a]. Identifying faulty chains and modeling chain defects by chain patterns are
illustrated by an example in Table 1. Suppose a scan chain with 12 scan cells is loaded with a chain pattern
001100110011, where the leftmost bit is loaded into cell 11 and the rightmost bit is loaded into cell 0.
Column 2 gives the unloaded faulty values for each type of permanent fault. Column 3 gives examples of
the unloaded faulty values for each type of intermittent fault. The underlined values show the difference
between the expected unloaded values and the observed values. By looking up this table, one can identify
the chain fault model to be used.
Chain patterns alone are sufficient to determine the fault type, but insufficient to pinpoint the index of the
failing flip-flop. This is the fundamental motivation for doing chain failure diagnosis, which is the process of
identifying one or multiple defective scan cell(s) in a scan chain or defective scan enable/clock signal(s). In this
paper, we will survey chain fault diagnosis techniques that have been investigated in the past. These techniques can
be classified into three categories: tester-based, hardware-based, and software-based diagnosis techniques.
Table 1: Scan Chain Fault Models and Their Effects
(Fault-Free Unloaded Values Are
001100110011)
Fault Models Unloaded Values
with One Permanent
Faults
Unloaded Values with
One Intermittent Fault
(Examples)
Slow-to-Rise 00100010001X 00110010001X
Slow-to-Fall 01110111011X 01110011011X
Slow 01100110011X 00100111011X
Fast-to-Rise X01110111011 X01110110011
Fast-to-Fall X00100010001 X00100110001
Fast X00110011001 X00100111001
Stuck-at-0 000000000000 001000010000
Stuck-at-1 111111111111 101111111011
2. Tester-based chain diagnosis
Tester-based diagnosis techniques use a tester to control scan chain shift operations and physical
failure analysis (PFA) equipment to observe defective responses at different locations to identify a failing
scan cell. These techniques normally provide very good diagnosis resolution. However, the major problems
of this technology are that (1) it requires expensive, time-consuming, and often destructive sample
preparation, and (2) it provides visibility through a small peephole only. Hence, you have to know where to
look with your PFA equipment.
In [DE95], De and Gunda
applied a chain pattern with alternative “0”s and “1”s and used electron beam
probing to detect the toggles. Binary search scheme was applied to detect the stuck-at fault at a cell where the
toggles start to disappear.
In [SON04], a diagnostic method was proposed based on Light Emission due to Off-State Leakage
Current (LEOSLC). Two chain patterns were applied. One chain pattern was with all “0”s and the other
was with all “1”s. Two emission images of a cell were compared for both chain patterns. If there was no
difference, a stuck-at fault could be on this cell or its upstream cells. This procedure was repeated until the
first cell that shows a different emission images for all “0”s and all “1”s chain patterns. A binary search
3
could be applied to speed up the procedure. In [STE04], LEOSLC combined with Picosecond Imaging
Circuit Analysis technology can further enhance the efficiency and effectiveness of chain diagnosis.
If passing/failing of scan shift operating conditions, such as power supply, reference voltages, or clock
speed etc, can be identified, one can use passing (or failing) condition to shift-in a chain pattern and change
the test environment to the opposite condition for shift-out. The location where failures start to appear (or
disappear) is the defect location. [MOT03], [MOT06] and [KON05] belong to this category. In [MOT03],
they identify the passing/failing shift speed to diagnose slow faults. In [MOT06], by varying operating
parameters, one or more latches in the downstream of the fault location may be triggered to change state
from the stuck-at fault value. In [KON05], a Shmoo plot logging the result of the chain test results with
respect to voltage, frequency and temperature was performed to identify the passing and failing test
conditions.
In [HIR99], IDDQ testing was used for chain diagnosis. Taking the stuck-at-1 fault for example, if
“0111...” was shifted in, when the “0” was shifted to the cell with a stuck-at-l fault, the IDDQ current
would have an abnormally high value.
3. Hardware-based chain diagnosis
Hardware-based methods use some special scan chain and scan cell designs to facilitate the diagnosis
process. These techniques are effective in isolating scan chain defects. However, they typically require
special design of scan chains/scan cells with extra hardware overhead which may not be acceptable in
many realistic products. In addition, if the defects happen at the extra control hardware, diagnosis becomes
more complicated.
In [SCH92], it was proposed to connect the output of each scan cell to a scan cell (called partner shift
register) in another scan chain such that its value can be observed by the other scan chain in diagnostic
mode. For example, assume there is one stuck-at-0 at the output of cell 2 of chain 1 and chain1 has 4 cells.
After shifting in “1111”, chain 1 should have “1100”. Then the circuit was turned into “diagnostic mode”
and the data in chain 1 was transferred to its partner chain. Assuming the partner chain is a good chain,
“1100” is observed from this chain and it can be deduced that the defect must be in the middle of chain 1.
In [EDI95a], XOR gates were inserted between scan cells to enhance chain diagnosis. In case of
multiple faults, the proposed scheme will always identify the fault closest to the scan-output. There is a
trade-off between the number of XOR gates added and the diagnostic resolution. A dictionary-based chain
failure diagnosis technique based on this special scan chain design was discussed in [EDI95b]. In this
technique, a fault dictionary was created for each scan cell fault and the responses with XOR gates along
the scan chain were analyzed to identify the failing scan cell.
In [NAR97] and [NAR99], Narayanan and Das proposed to add simple circuitry to a scan flip-flop to
enable its scan-out port to be either set or reset. Based on this set/reset feature, the authors presented a
global strategy to take into account disparities in the defect probabilities and controllability/observability
attributes of flops in a scan chain. An algorithm to optimally modify a subset of the flops to maximize
diagnostic resolution is also described. One solution is that each adjacent pair of flip-flops consists of a
flip-flop whose scan output can be reset to a 0 and a flip-flop whose scan output can be set to a 1. Hence
any single stuck fault can be diagnosed down to a pair of flip-flops.
In [WU98], a special circuit was proposed to flip or set/reset scan cells to identify defective cells. After
shifting in a chain pattern, the state of each flip-flop can be inverted/set/reset. Based on the observed
unloading value, the faulty cell can be located.
In [SON00], a bidirectional scan chain architecture was proposed, where the scan fault can be
diagnosed by re-configuring scan chain to perform both forward and backward scan shift.
In [MOT05], an on-chip controller was applied for scan chain diagnosis. Each chain was divided into
multiple shorter sub-chains through multiplexers. The IOs of each sub-chain are controlled independently
by the controller. Each sub-chain can be observed by the MISR while other sub-chains are masked by the
controller. [TEK07] proposed to bypass portions of scan chain that have hold-time violations. The scan
chains were also partitioned into segments. When a hold-time violation was located on a scan chain
segment, the segment containing that flip-flop was bypassed and new test patterns were derived.
4
4. Software-based chain diagnosis
Software-based techniques use algorithmic diagnosis procedures to identify failing scan cells. Compared with
the hardware-based methods, software-based techniques are more widely applied in industrial for general designs
due to the fact that no design modification is required. The software-based chain diagnosis techniques can be
classified into two categories: (1) using production scan patterns and (2) generate special chain diagnostic patterns.
4.1 Production scan pattern based chain diagnosis
In this category, the diagnosis methods can be further classified into 3 sub-classes: (1) simulation-
based, (2) probability-based and (3) dictionary-based.
In [STA01], fault injection and simulation were used to find the faulty scan cell. One fault was injected
at a cell for each run. Because all scan cells on a faulty chain were candidates, for a scan chain with a large
number of scan cells, this method could be time consuming. To speed up the diagnosis procedure, several
techniques were proposed.
In [GUO01], an algorithm was proposed to identify an upper bound (UB) and lower bound (LB) for a
faulty cell. Figure 1(a) illustrates an example to explain this algorithm. First the simulated loading values of
the faulty chain are changed to all “X”s. After pulsing the capture clock, assume on this faulty chain the
simulated captured values are ‘XX10
XXX0XX1X’. It means cells 8 and 4 will capture “0”s no matter what
values were really loaded to the faulty chain. Suppose the observed values on ATE are actually
‘1111
11001010’. Because the observed value at scan cell 8 is “1”, a stuck-at-1 fault must be in the
downstream of cell 8. So cell 8 is UB. Meanwhile, because the observed value at cell 4 matches the
simulated value, the stuck-at-1 fault must be in the upstream of cell 4. So, cell 4 is LB. The diagnosis
resolution is further improved by ranking the suspect cells within the bounded range. In [GUO02], the same
group of authors provided experimental results on applying the technique on industrial designs. More
details of this diagnosis method and its application to production test fallouts with several real case studies
were given in [GUO06].
In [KAO06], “jump simulation” was proposed to diagnose a single chain fault. For each failing pattern,
multiple simulations were performed to quickly search multiple segments of UB/LB of the fault. After the
range was finalized, a detailed simulator performed parallel pattern simulation for every fault in the final
range. Figure 1(b) demonstrates an example of “jump simulation”. Suppose there is a stuck-at-1 fault on a
scan chain and the current UB=27 and LB=20. The scan cells from UB to LB were evenly divided into
three parts and the boundary scan cells (22, 24, and 26) are chosen as jump bits. When searching for a new
UB, the fault is assumed upstream to the jump bit. All zeros downstream to the jump bit are changed to
ones; all zeros between the jump bit and UB are changed to ‘X’s. If a simulation mismatch occurs in the
second jump bit (24), it can be deduced that the stuck-at-1 fault is actually in the downstream to the jump
bit. The new UB is therefore moved to scan cell 23. The lower bound can be searched in a similar way.
In [HUA07a], a dynamic-learning based chain diagnosis methodology was proposed. This algorithm
was based on several learning rules. The rules analyzed the circuit, patterns, and mismatched bits and back-
traced the logic cones to figure out what cell(s) should be simulated in the next iteration. Therefore instead
of simulating every cell within a range, it may only need simulation of a few cells to find out suspects.
5
Figure 2(a) illustrates one example to tighten LB. A fault is injected at current LB at cell 1. If a simulation
mismatch is on the gray cell of a good chain, it can be back-traced from the mismatched cell. Assume this
cell is driven by cells 4 and 3 on the faulty chain, it can be learnt that either scan cell 4 or 3 or both carried
wrong loading value(s) in the previous simulation. Therefore, the new LB is updated to scan cell 3. This
process can be iterated several times until the real defective cell is found.
In [HUA03b], diagnosis of intermittent hold-time faults was discussed. An algorithm based on X-
simulation was proposed, in which the intermittent loading/unloading behavior was modeled with ‘X’s. In
[HUA05a], case studies were given to illustrate the problems when using a fault model to diagnose real
chain defects. They proposed a fault model relaxation flow. Chain fault models will be adaptively selected
based on fault model relaxation rules and simulation results.
Chain diagnosis on devices with embedded compression techniques becomes a challenge. In
[HUA05b], a methodology that enables seamless reuse of the existing chain diagnosis algorithms with
compressed test data was proposed. In [HUA05c], an algorithm was proposed to locate the defects on the
scan enable tree for Mux-DFF scan architecture. The algorithm was based on simulation and post-
processing of diagnosis results by tracing scan enable tree. The algorithm was extended to diagnose clock
tree defect in [HUA06a]. For the LSSD scan architecture, an algorithm was proposed in [SAR92] to
diagnose scan clock defects.
The total number of failing bits that can be logged is restricted by the ATE fail buffer capacity and test
time, which will negatively impact the diagnosis resolution. In [HUA06b], three methods were proposed to
run chain diagnosis with limited failures: (1) static pattern reordering (2) dynamic pattern reordering and
(3) per-pin based diagnosis.
Sometimes, diagnosing real defects is challenging when scan chain defects and system logic defects
coexist on the same die, which was called compound defect [HUA07b]. In [HUA04b] discussed a special
compound defect such that one defect could impact both chain and system logic simultaneously. They
proposed to use per-shift-cycle simulation to identify the defect locations. In [HUA07b], a new algorithm
was described for diagnosing more general compound defects. It first partitions the failures to separate
failures caused by faulty chain(s) and faulty system logic. It then masks the faulty scan chain(s) to diagnose
system logic defects and masks the system logic defects to diagnose scan chain(s) defects. In [AHM06], a
real case study of yield enhancement was presented, which was due to successful diagnosis of scan chain
hold-time faults and system logic fault simultaneously.
Probability-based chain diagnosis algorithms primarily target intermittent chain faults. In [HUA03a], a
statistical diagnosis algorithm was proposed based on Bayes Theorem to calculate the probability of a cell
being faulty. In [HUA04a], an algorithm that incorporates signal probability calculation was proposed. It
injected one fault at a time to the faulty scan chain and searched the most matching candidate based on
probabilities.
In [GUO07a], a dictionary-based technique was proposed for scan chain failure diagnosis. Differential
signatures were stored in fault dictionaries to reduce the redundancy of fault signatures of adjacent scan cell
faults. Based on the differential signatures, the authors proposed a diagnosis technique that can diagnoses
single stuck-at fault, timing fault and some multiple stuck-at faults in a single scan chain.
4.2 Chain diagnostic pattern generation
When the failures of production scan patterns cannot provide good diagnosis resolution, special
diagnostic patterns are desired to achieve better diagnosis resolution.
In [KUN93] and [KUN94], a scan chain diagnosis algorithm was proposed such that it focuses on generating
test patterns for stuck-at fault diagnosis in a scan chain. Test patterns were created either to capture desired values
into target scan cells or to propagate the fault effect to good scan chains for failure observation. Similar methods
were utilized in [FOR01] [BRU06] and [AND05] to generate chain diagnostic patterns.
Yang and Huang proposed to use functional test patterns for scan chain failure diagnosis [YAN05].
This procedure selected patterns to randomize signal probability of scan cells. By comparing the observed
signal profile on a tester and the expected signal profile along a faulty scan chain, the failing scan cell
position can be identified. In [HSU06] and [TZE07ab], the authors proposed chain algorithms that include
two parts: (1) use diagnostic ATPG to get some scan patterns that do not use scan chain loading procedures
6
so that the impacts of chain defects only come from chain unloading procedures, and (2) apply heuristics to
analyze the test failures and identify the defective cells. The heuristics include signal profiling, best-
alignment, delay insertion and image recovering etc.
Li proposed a single-excitation technique to generate diagnostic patterns [LI05ab]. The single excitation
patterns have only one sensitive bit that can be flipped by the fault. This technique converts the diagnosis problem
into a single stuck-at fault ATPG problem, which can be easily solved by existing tools. Figure 2(b) illustrates such
an example. Suppose that a stuck-at-zero chain fault exists. The single excitation pattern ‘00100’ is shifted into the
faulty chain to make the sensitive bit at cell 2. Hence the fault can be detected in the same way as a stuck-at-0 fault
in the combinational logic.
Crouch suggested to propagate the fault effect to as many POs and good scan chains as possible [CRO05]. He
also proposed to add some shift cycles between capture clocks, which can be helpful for diagnosing multiple chain
faults. In [SIN07], it was proposed to generate hold-time violation immune test stimuli (like all “0”s or all “1”s) on
the faulty chain and randomly change stimuli on the good chains. In [GUO07b], a complete test set generation was
proposed for single chain fault diagnosis. This technique attempted to create test patterns such that any given faulty
scan cell can be uniquely identified. This algorithm was extended to handle multiple failing scan chains and designs
with test compression logic. During test generation process, constraints on scan cell controllability and observability
were carefully analyzed when there are logic correlations between scan cells of the same scan chain.
5. New directions
The current chain diagnosis tools and techniques still need enhancements in the following aspects:
(1) Diagnosing multiple faults per chain is important for chain failures caused by systematic defects, library
cell reliability issues or process variations.
(2) There is a gap between the fault models and real defects such that the modeled fault only shows up
under certain situations. Diagnosis resolution needs to be enhanced for intermittent fault.
(3) Need a reliable solution for diagnosis of defects on clocks/scan enables/embedded compactor logic etc.
(4) Run time needs improvement such that volume diagnosing of large quantity of chips in production can
get results faster and use chain diagnosis results for yield learning.
(5) Tester memory capacity is normally limited, while chain defects produce a large number of failure
cycles. Performing chain diagnosis with central-buffer based testers is still a challenge.
(6) All currently used chain fault models are cell-based. So diagnosis resolution is at best down to one cell.
Normally, a scan cell and its connections will spread a large area in silicon. Therefore further enhancement
of resolution down to a specific signal / pin will be much more helpful for PFA.
6. Summary
In this paper, we reviewed various techniques of scan chain diagnosis. Different technologies have
their own application scenarios, advantages and disadvantages. Tester-based diagnosis techniques are very
effective, but they are time consuming and costly. Special scan designs for chain diagnosis are also helpful,
but are not available in most real designs. Software-based diagnosis can be easily automated for quick fault
diagnosis, but they still need enhancements with regards to diagnosis resolution and run time. The reviewed
paper and patents are classified in Table 2. We also proposed several future research directions in this area.
7
Table 2: Classification of Chain Diagnosis in the Reviewed Paper and Patents
Software-based chain diagnosis
Use production scan patterns
Tester-
based
chain
diagnosis
Hardware-
based
chain
diagnosis
Simulation-
based
Probability-
Based
Dictionary-
based
Diagnostic ATPG
[DE95]
[SON04]
[STE04]
[MOT06]
[HIR99]
[MOT03]
[KON05]
[SCH92]
[EDI95ab]
[NAR97, 99]
[WU98]
[SON00]
[MOT05]
[TEK07]
[STA01] [GUO01]
[GUO02] [GUO06]
[HUA03b] [HUA04b]
[HUA05abc]
[HUA06ab] [HUA07ab]
[SAR92] [AHM06]
[KAO06]
[HUA03a]
[HUA04a]
[GUO07a] [KUN93, 94]
[FOR01] [BRU06]
[AND05] [YAN05]
[HSU06] [TZE07ab]
[LI05ab] [CRO05]
[SIN07] [GUO07b]
References
[AHM06] I. Ahmed et al., “Yield Improvement with Compressed Pattern Diagnosis,” Proc. Int’l Workshop
on Silicon Debug and Diagnosis, 2006.
[AND05] A.C. Anderson et al., “Method, Apparatus, and Computer Program Product for Implementing
Deterministic Based Broken Scan Chain Diagnostics”, US Patent application 20050229057, 10/13/2005.
[BRU06] V. Brunkhorst et al., “Method for optimizing a set of scan diagnostic patterns”, US Patent 6996791, 2/7/
2006.
[CRO05] A. Crouch, "Debugging and Diagnosing Scan Chains," Electronic Device Failure Analysis Society, Vol. 7,
Feb., 2005, pp 16-24.
[DE95] K. De and A. Gunda, “Failure Analysis for Full-Scan Circuits”, Proc. Int’l Test Conf., 1995, pp. 636-645.
[EDI95a] S. Edirisooriya and G. Edirisooriya, “Diagnosis of Scan Path Failures," Proc. VLSI Test Symp., 1995, pp.
250-255.
[EDI95b] G. Edirisooriya and S. Edirisooriya, “Scan Chain Fault Diagnosis with Fault Dictionaries”, Proc.
Int’l Symp. on Circuits and Systems, 1995, pp.1912-1915.
[FOR01] O.P. Forlenza et al., “Look ahead scan chain diagnostic method”, US Patent 6308290,
10/23/2001.
[GUO01] R. Guo, and S. Venkataranman, “A Technique for Fault Diagnosis of Defects in Scan Chains,”
Proc. Int’l Test Conf., 2001, pp. 268-277.
[GUO02] R. Guo and S. Venkataraman, “A new technique for scan chain failure diagnosis,” in Proc. Int’l
Symp. for Testing and Failure Analysis, 2002, pp. 723–732.
[GUO06] R. Guo and S. Venkataraman, “An Algorithmic Technique for Diagnosis of Faulty Scan Chains,”
IEEE Trans. On Computer-Aided Design, vol. 25, no. 9, Sep. 2006, pp. 1861-1867.
[GUO07a] R. Guo et al., “Fault Dictionary Based Scan Chain Failure Diagnosis”, Proc. Asian Test Symp.,
2007, pp.45-50.
[GUO07b] R. Guo et al., “A complete test set for scan chain failures diagnosis”, Proc. Int’l Test Conf.,
2007, Paper 7.2.
[HSU06] J.-J. Hsu et al., “A New Robust Paradigm for Diagnosing Hold-Time Faults in Scan Chains,”
Proc. IEEE Int’l Symp. on VLSI Design, Automation and Test, 2006, pp 171-174.
[HUA03a] Y. Huang et al., “Statistical Diagnosis for Intermittent Scan Chain Hold-Time Fault”, Proc. Int’l
Test Conf., 2003, pp.319-328.
[HUA03b] Y. Huang et al.,
“Efficient Diagnosis for Multiple Intermittent Scan Chain Hold-Time Faults,”
Proc. Asian Test Symp., 2003, pp 44-49.
[HUA04a] Y. Huang et al., “Intermittent Scan Chain Fault Diagnosis Based on Signal Probability
Analysis”, Proc. Design, Automation & Test in Europe Conference & Exhibition, 2004, pp.1072 – 1077.
[HUA04b] Y. Huang et al., “Diagnosing DACS (Defects That Affect Scan Chain and System Logic),” Proc. Int’l
Symp. for Testing and Failure Analysis, 2004, pp. 291-296.
[HUA05a] Y. Huang et al., “Using Fault Model Relaxation to Diagnose Real Scan Chain Defects”, Proc.
Asia and South Pacific Design Automation Conf., 2005, pp. 1176-1179.
[HUA05b] Y. Huang et al., “Compressed Pattern Diagnosis For Scan Chain Failures,” Proc. Int’l Test Conf., 2005,
paper 30.3.
8
[HUA05c] Y. Huang and K. Gallie, “Diagnosis of Defect on Scan Enable Tree,” Proc. Int’l Workshop on
Silicon Debug and Diagnosis, 2005.
[HUA06a] Y. Huang and K. Gallie, “Diagnosis of Defects on Scan Enable and Clock Trees,” Proc. Design,
Automation & Test in Europe Conference & Exhibition, 2006, pp. 436-437.
[HUA06b] Y. Huang et al., “Diagnosis with Limited Failure Information,Proc. Int’l Test Conf., 2006, paper 22.2.
[HUA07a] Y. Huang, “Dynamic Learning Based Scan Chain Diagnosis,” Proc. Design, Automation & Test
in Europe Conference & Exhibition, 2007, pp.510-515.
[HUA07b] Y. Huang et al., “Diagnose Compound Scan Chain and System Logic Defects,” Proc. Int’l Test Conf.,
2007, paper 7.1.
[HIR99] J. Hirase et al., “Scan Chain Diagnosis Using IDDQ Current Measurement", Proc. Asian Test
Symp., 1999, pp. 153-157.
[KAO06] Y.-L. Kao et al., “Jump Simulation: A Technique for Fast and Precise Scan Chain Fault Diagnosis,” Proc.
Int’l Test Conf., 2006, paper 22.1.
[KON05] C.L. Kong and M.R. Islam, “Diagnosis of Multiple Scan Chain Faults,” Proc. Int’l Symp. for
Testing and Failure Analysis, 2005, pp. 510-516.
[KUN93] S. Kundu, On diagnosis of faults in a scan-chain,” Proc. VLSI Test Symp., 1993, pp. 303 – 308.
[KUN94] S. Kundu, “Diagnosing Scan Chain Faults," IEEE Trans. On VLSI Systems, Vol. 2, No. 4, December,
1994, pp. 512-516.
[LI05a] J. C.-M. Li, “Diagnosis of Single stuck-at Faults and Multiple Timing Faults in Scan Chains,”
IEEE Trans. on VLSI Systems, Vol.13, No. 6, June, 2005, pp. 708-718.
[LI05b] J. C.-M. Li, “Diagnosis of Multiple Hold-time and Setup-time Faults in Scan Chains,” IEEE Trans.
on Computers, Vol. 54, No. 11, 2005, pp. 1467-1472.
[MOT03] F. Motika et al., “AC scan diagnostic method” US Patent 6516432, 2/4/2003.
[MOT05] F. Motika et al., “Diagnostic method for structural scan chain designs, US Patent 6961886,
11/1/2005.
[MOT06] F. Motika et al., “Stuck-at fault scan chain diagnostic method ” US Patent 7010735, 3/7/2006.
[NAR97] S. Narayanan and A. Das, “An Efficient Scheme to Diagnose Scan Chains," Proc. Int’l Test Conf., 1997,
pp. 704-713.
[NAR99] S. Narayanan and A. Das “Flip-flop design and technique for scan chain diagnosis”, US Patent 5881067,
3/9/1999
[SAR92] G.A. Sarrica and B.R. Kessler, “Theory and Implementation of LSSD Scan Ring & STUMPS
Channel Test and Diagnosis”, Proc. Int’l Electronics Manufacturing Technology Symp., 1992, pp.195-200.
[SCH92] J. Schafer et al., “Partner SRLs for Improved Shift Register Diagnostics,” Proc. VLSI Test Symp.,
1992, pp. 198-201.
[SIN07] O. Sinanoglu and P. Schremmer, “Diagnosis, Modeling and Tolerance of Scan Chain Hold-Time
Violations,” Proc. Design, Automation & Test in Europe Conference & Exhibition, 2007, pp. 516-521.
[SON00] P. Song, A New Scan Structure for Improving Scan Chain Diagnosis and Delay Fault
Coverage,” Proc. North Atlantic Test Workshop
, 2000, pp. 14-18.
[SON04] P. Song et al., A Novel Scan Chain Diagnostics Technique Based on Light Emission from
Leakage Current,” Proc. Int’l Test Conf., 2004, pp. 140-147.
[STA01] K. Stanley, “High accuracy flush-and-scan software diagnostic,” IEEE Design and Test
Computers, vol. 18, no. 6, Nov.-Dec. 2001, pp. 56–62.
[STE04] F. Stellair et al.,Broken Scan Chain Diagnostics Based on Time-integrated and Time-Dependent
Emission Measurements,” Proc. Int’l Symp. for Testing and Failure Analysis, 2004, pp. 52-57.
[TEK07] R.C. Tekumulla and D. Lee, “On Identifying and Bypassing Faulty Scan Segments,” Proc. North
Atlantic Test Workshop, 2007, pp. 134-143.
[TZE07a] C.-W. Tzeng and S.-Y. Huang, “Diagnosis by Image Recovery: Finding Mixed Multiple Timing
Faults in A Scan Chain,” IEEE Trans. on Circuit and Systems II, vol.54, no. 8, 2007, pp690-694.
[TZE07b] C.-W. Tzeng et al., “A Robust Paradigm for Diagnosing Hold-Time Faults in Scan Chains,” IET
Proc. on Computers and Digital Techniques, Vol. 1, No. 6, 2007, pp. 706-715.
[WU98] Y. Wu, "Diagnosis of Scan Chain Failures," Proc. Int'l Symp. on Defect and Fault Tolerance in VLSI
Systems, 1998, pp. 217-222.
[YAN05] J.-S. Yang and S.-Y. Huang, “Quick Scan Chain Diagnosis Using Signal Profiling,” Proc. Int’l
Conf. on Computer Design, 2005, pp. 157–160.
9
Yu Huang’s Bio
Yu Huang received his Ph.D. degree in Electrical and Computer Engineering from the University
of Iowa, USA. Dr. Huang is currently working as a Senior Member of Staff in the Advance Research
Group within the DFT division at Mentor Graphics. His primary interests are focused on VLSI testing and
diagnosis. He is a member of IEEE.
Ruifeng Guo’s Bio
Ruifeng Guo is a R&D engineer at Mentor Graphics Corp. He received a Ph.D degree in ECE
from the University of Iowa, Iowa City. He received a Master degree at Peking University, Beijing, China
and a Bachelor degree (with honor) from Nankai University, Tianjin, China. He also worked at Intel Corp
as a CAD development engineer. His research interests include VLSI testing, diagnosis and yield
improvement. He is a member of the IEEE and the IEEE Computer Society.
Wu-Tung Cheng’s Bio
Wu-Tung Cheng received his BS and MS degrees in electrical engineering from National Taiwan
University in 1978 and 1982, respectively, and his Ph.D degree in Computer Science from the University of
Illinois at Urbana-Champaign in 1985. His current positions are Chief Scientist and Advanced Test
Research Director in Mentor Graphics to lead a team to develop new DFT solutions for future
semiconductor quality and yield issues. Dr. Cheng has been an IEEE fellow since 2000.
James Chien-Mo Li’ Bio
James Chien-Mo Li received his BSEE degree in 1993 from National Taiwan University, Taipei,
Taiwan. He received his MSEE and PhD degrees in electrical engineering from Stanford University in
1997 and 2002 respectively. He is currently an associate professor of Graduate Institute of Electronics
Engineering, National Taiwan University, Taipei, Taiwan. His research interest includes design for
testability, built-in self test, low power testing, and fault diagnosis. He is a member of IEEE.
... Defective scan latches can fail with permanent faults (which are easy to model) or intermittent faults (which are difficult to model). A recent survey [72] points to Bayesian learning [168] for identifying faulty scan cells in the presence of intermittent faults using an unsupervised learning approach. [176] Content courtesy of Springer Nature, terms of use apply. ...
Article
Full-text available
Integrated circuit (IC) testing presents complex problems that for large circuits are exceptionally difficult to solve by traditional computing techniques. To deal with unmanageable time complexity, engineers often rely on human “hunches" and “heuristics" learned through experience. Training computers to adopt these human skills is referred to as machine intelligence (MI) or machine learning (ML). This survey examines applications of such methods to test analog, radio frequency (RF), digital, and memory circuits. It also summarizes ML applications to hardware security and emerging technologies, highlighting challenges and potential research directions. The present work is an extension of a recent paper from IEEE VLSI Test Symposium (VTS’21), and includes recent applications of artificial neural network (ANN) and principal component analysis (PCA) to automatic test pattern generation (ATPG).
... Scan chain structures are widely used in VLSI circuits under design for testing. They increase the fault coverage and diagnosability by enhancing the controllability and observability of the digital circuit logic [362]. Fig. 13 shows the design of a preliminary scan chain. ...
Article
An evident challenge ahead for the integrated circuit (IC) industry is the investigation and development of methods to reduce the design complexity ensuing from growing process variations and curtail the turnaround time of chip manufacturing. Conventional methodologies employed for such tasks are largely manual, time-consuming, and resource-intensive. In contrast, the unique learning strategies of artificial intelligence (AI) provide numerous exciting automated approaches for handling complex and data-intensive tasks in very-large-scale integration (VLSI) design and testing. Employing AI and machine learning (ML) algorithms in VLSI design and manufacturing reduces the time and effort for understanding and processing the data within and across different abstraction levels. It, in turn, improves the IC yield and reduces the manufacturing turnaround time. This paper thoroughly reviews the AI/ML automated approaches introduced in the past toward VLSI design and manufacturing. Moreover, we discuss the future scope of AI/ML applications to revolutionize the field of VLSI design, aiming for high-speed, highly intelligent, and efficient implementations.
... • Scan chain diagnosis of ICs with an embedded test compression mechanism usually leads to low diagnostic capabilities [31]. This is the case of most ICs nowadays. ...
Article
Semiconductor design, manufacturing, and system deployment are being challenged on many fronts owing to technology scaling, process variability, device aging effects, ever increasing performance expectations, and the continued reduction in time with respect to volume. Data centers and applications have stringent reliability, availability, and serviceability (RAS) requirements straining under the massive scale of compute today. Silent data corruption (SDC) has become a problem for all semiconductor suppliers in large-scale compute. Automotive OEMs (original equipment manufacturers) are accelerating adoption of advanced process nodes to address the compute required for fully autonomous transportation while still meeting the stringent functional safety (FuSa) requirements. In this paper, we describe the challenges, potential causes, and mitigation techniques to address modern RAS requirements including SDC. Silicon Lifecycle Management (SLM) will be explained which involves the insertion of in-chip monitors, Electronic Design Automation (EDA) tools, and data analytics solutions on the cloud, edge and embedded in the SoC. SLM monitors, collects, and stores device data throughout a system's life and it provides insights through purpose-built analytics for production data through the mission mode of operation.
Article
Designers use third-party intellectual property (IP) cores and outsource various steps in the integrated circuit (IC) design and manufacturing flow. As a result, security vulnerabilities have been rising. This is forcing IC designers and end users to re-evaluate their trust in ICs. If attackers get hold of an unprotected IC, they can reverse engineer the IC and pirate the IP. Similarly, if attackers get hold of a design, they can insert malicious circuits or take advantage of “backdoors” in a design. Unintended design bugs can also result in security weaknesses. This tutorial paper provides an introduction to the domain of hardware security through two pedagogical examples of hardware security problems. The first is a walk-through of the scan chain-based side channel attack. The second is a walk-through of logic locking of digital designs. The tutorial material is accompanied by open access digital resources that are linked in this article.
Conference Paper
Full-text available
Hold-time violation is a common cause of failure at scan chains. A robust new paradigm for diagnosing such failure is presented in this paper. As compared to previous methods, the major advantage of ours is the ability to tolerate non-ideal conditions, e.g., under the presence of certain core logic faults or for those faults that manifest themselves intermittently. We first formulate the diagnosis problem as a delay insertion process. Then, two algorithms including a greedy algorithm and a so-called best-alignment based algorithm are proposed. Experimental results on a number of real designs are presented to demonstrate its effectiveness
Conference Paper
Full-text available
Scan is the most widely used DFT technique in today's VLSI industry. Mux-DFF and Level Sensitive Scan Design (LSSD) are the most popular scan architectures. For Mux-DFF, when scan enable is set to "1", the scan chain is in shift mode. When scan enable is set to "0", the scan chain is in capture mode. For LSSD, two clocks are used to control the shift. When scan enable or scan clock has defects, it is desirable to locate the defects at logic level by algorithmic techniques to guide failure analysis.
Conference Paper
Full-text available
Scan chain defect diagnosis is important to silicon debug and yield enhancement. Traditional simulation-based chain diagnosis algorithms may take long run time if a large number of simulations are required. In this paper, a novel dynamic learning based scan chain diagnosis is proposed to speedup the diagnosis run time. Experimental results illustrate that by using the proposed dynamic learning techniques, the diagnosis run time can be reduced about 10X on average
Conference Paper
Precise isolation and resolution of scan chain defects are more critical than ever due to increased reliance on scan-based design to achieve desired test content. At the same time, its diagnosis is becoming more difficult as product design increases in complexity alongside shrinking fabrication processes. In this paper, we present a new scan chain diagnosis procedure that is centered on Load Pass Unload Fail/Load Fail Unload Pass (LPUF/LFUP) and Scan Shift Logic State Mapping (SSLSM) techniques to isolate both stuck-at and timing scan chain faults without the design overhead and defect assumptions of previously proposed methods. More importantly, this procedure is extended to analyze scan chain with multiple defects, which is becoming a more frequent occurrence as process scales down in size.
Conference Paper
In this paper, we present a scan chain fault diagnosis procedure. The diagnosis for a single scan chain failure is performed in three steps. The first step uses special chain test patterns to determine both the faulty chain and the fault type in the faulty chain. The second step uses a novel procedure to generate special test patterns to identify the suspect scan cell within a range of scan cells. Unlike previously proposed methods that restrict the location of the faulty scan cell only from the scan chain output side, our method restricts the location of the faulty scan cell from both the scan chain output side and the scan chain input side. Hence the number of suspect scan cells is reduced significantly in this step. The final step further improves the diagnostic resolution by ranking the suspect scan cells inside this range. The proposed technique handles both stuck-at and timing failures (transition faults and hold time faults). The experimental results based on simulation and silicon units for several products show the effectiveness of the proposed method.
Conference Paper
In this paper, DACS stands for Defects that Affect Chain and System, which could be any type of silicon defects caused by an unintentional interaction between a scan chain signal and a system logic signal. The device could fail scan chain testing or show up as a latent failure in the customer’s system. A novel diagnosis methodology is proposed to locate both ends of a DACS. The proposed algorithm can be generally applied to any type of DACS. Experimental results on industrial chips demonstrate the effectiveness of the proposed method.
Article
Scan chains can be easily debugged and diagnosed so that they could be fixed or used while masking out vector bits associated with broken or data corrupting portions of the scan chains. The techniques used to understand the broken scan chain problem include space, the stuck-at bit, the hold-time bit and the corrupt SE, all of which are based on using ATPG tools and algorithms. Some of the sample patterns used to set particular bits in the scan chain may encounter design error or bugs or defects or process variation effects that result in the incorrect sample bit. A conundrum of circular logic is created to verify the scan-chains requires verifying the functional logic first in order to allow scan chain to be used to test the functional logic.
Article
In this paper, DACS stands for Defects that Affect Chain and System, which could be any type of silicon defects caused by an unintentional interaction between a scan chain signal and a system logic signal. The device could fail scan chain testing or show up as a latent failure in the customer's system. A novel diagnosis methodology is proposed to locate both ends of a DACS. The proposed algorithm can be generally applied to any type of DACS. Experimental results on industrial chips demonstrate the effectiveness of the proposed method.
Article
Light Emission due to Off-State Leakage Current (LEOSLC) is used in combination with the Picosecond Imaging Circuit Analysis (PICA) method to effectively diagnose and localize defects in a broken scan chain. As usual, the emission base method shows to be very effective in debugging the problem; the defect is successfully identified by the optical technique and confirmed by Physical Failure Analysis (PFA).
Conference Paper
A diagnosis technique is presented to locate seven types of single faults in scan chains, including stuck-at faults and timing faults. This technique implements the Jump Simulation, a novel parallel simulation technique, to quickly search for the upper and lower bounds of the fault. Regardless of the scan chain length, Jump Simulation packs multiple simulations into one so the simulation time is short. In addition, Jump Simulation tightens the bounds by observing the primary outputs and scan outputs of good chains, which are ignored by most previous techniques. Experiments on ISCAS'89 benchmark circuits show that, on the average, only three failing patterns are needed to locate faults within ten scan cells. The proposed technique is still very effective when failure data is truncated due to limited ATE memory