Takayoshi Shimura

Takayoshi Shimura
Waseda University | Sōdai · Graduate School of Information, Production and Systems

Doctor of Engineering

About

331
Publications
13,810
Reads
How we measure 'reads'
A 'read' is counted each time someone views a publication summary (such as the title, abstract, and list of authors), clicks on a figure, or views or downloads the full-text. Learn more
3,085
Citations
Introduction

Publications

Publications (331)
Article
Full-text available
We report on an approach to produce single photon emitters at the SiO2/SiC interface. We form a high-quality SiO2/SiC interface by high-temperature oxidation and subsequently perform oxidation at lower temperatures (200 °C–1000 °C) to generate the emitters. After reoxidation at 800 °C, we confirmed the formation of emitters with a bright luminescen...
Article
Full-text available
We investigated the abundance, structures, energy levels, and spin states of oxygen-related defects in 4H-SiC on the basis of first-principles calculations. We applied a hybrid functional in the overall calculations, which gives reliable defect properties, and also considered relevant defect charge states. We identified the oxygen interstitial (O i...
Article
Full-text available
Understanding the traps in metal-oxide-semiconductor (MOS) structures is crucial to fabricate MOS transistors with high performance and reliability. In this study, we evaluated the hole traps in SiO 2 /GaN MOS structures through photo-assisted capacitance-voltage measurements. Below- and above-gap light was used to distinguish between the contribut...
Article
Full-text available
Oxidation of silicon carbide (SiC) is known to induce defects at the interface of the SiO2/SiC system. NO-annealing is a standard industrial method of nitridation, but oxidation may progress during NO-nitridation, which may generate interface defects. Here, we propose a new method of fabricating SiO2/SiC metal-oxide-semiconductor (MOS) devices: spu...
Article
Optically active spin defects in semiconductors can serve as spin-to-photon interfaces, key components in quantum technologies. Silicon carbide (SiC) is a promising host of spin defects thanks to its wide bandgap and well-established crystal growth and device technologies. In this study, we investigated the oxygen-vacancy complexes as potential spi...
Article
Full-text available
A major challenge in GaN-based metal-oxide-semiconductor (MOS) devices is significant hole trapping near the oxide/GaN interface. In this study, we show that the density and energy level of the hole traps depend crucially on the concentration of magnesium (Mg) dopants in GaN layers. Although the surface potential of a conventional SiO 2 /p-GaN MOS...
Article
Full-text available
Epitaxial growth of high-quality low tin content germanium (GeSn) alloy is demonstrated by sputter deposition. Adding several percent of Sn during simultaneous sputter deposition significantly improved the crystallographic structure of the GeSn alloy, leading to intense photoluminescence even at room temperature. Dislocation-free single-crystal GeS...
Article
Color centers in solids can serve as single photon emitters (SPEs) those are important in many quantum applications. Silicon carbide (SiC) is a promising host for color centers because of its well-established crystal growth and device technologies. Although color centers with extremely high brightness were found at the silicon dioxide (SiO2)/SiC in...
Article
The relationship between the electrical properties and the carrier trap properties of the SiO2/GaN metal–oxide–semiconductor (MOS) capacitors was investigated using electrical measurements and deep level transient spectroscopy (DLTS). The capacitance–voltage (C–V) measurement showed that the frequency dispersion of the C–V curves became smaller aft...
Article
Full-text available
Although nitridation passivates defects at the SiO 2 /SiC interface, avoiding the introduction of nitrogen atoms into SiO 2 is crucial for reliability. This paper presents a method to selectively introduce nitrogen at the SiC-side of the interface. The method comprises the following steps: (i) plasma nitridation of the SiC surface, (ii) sputter dep...
Article
While the formation of a GaO x interlayer is key to achieving SiO 2 /GaN interfaces with low defect density, positive fixed charge is rather easily generated through the reduction of GaO x layer if the annealing conditions are not properly designed. In this study, we minimized the unstable GaO x layer by sputter SiO 2 deposition. Negligible GaO x g...
Article
Full-text available
The effect of post-deposition annealing on the electrical characteristics of SiO 2 /GaN MOS devices was investigated. While the key to the improvement was using oxygen annealing to form an interfacial GaO x layer and forming gas annealing to passivate the remaining defects, caution must be taken not to produce fixed charge through reduction of the...
Article
We examined the fabrication process of uniaxial tensile-strained Ge wires in micro-bridge structures by utilizing the internal stresses in deposited metal thin films. This method enables strain control by adjusting film-deposition conditions, which is advantageous over previous methods that utilize internal stresses unintentionally applied to Ge-on...
Article
We examined the effects of the laser scan speed and power on the Sn fraction and crystallinity of GeSn wires of 1 μm width and 1 mm length fabricated by laser-induced local liquid phase crystallization on quartz substrates. The Sn fraction increased from 1 to 3.5% with an increasing scan speed from 5 to 100 μm/s, corresponding to a luminescence wav...
Article
Full-text available
The impact of excimer ultraviolet (UV) light irradiation on SiO 2 /SiC(0001) and (1120) interfaces was examined to get insight into the effect of NO nitridation. While NO nitridation appears to be effective in passivating the electron traps at the SiO 2 /SiC interfaces, we found that the nitridation induces additional traps that are not active unti...
Article
The interface properties and energy band alignment of SiO 2 /GaN metal-oxide-semiconductor (MOS) structures fabricated on N-polar GaN([Formula: see text]) substrates were investigated by electrical measurements and synchrotron-radiation x-ray photoelectron spectroscopy. They were then compared with those of SiO 2 /GaN MOS structures on Ga-polar GaN...
Article
The theoretical temporal resolution limit of silicon (Si) image sensors is 11.1 ps. The super temporal resolution (STR) is defined as a frame interval less than this limit. The temporal resolution of burst image sensors is significantly affected by “mixing” of signal electrons traveling from different starting positions. For example, the penetratio...
Article
The temporal resolution limit of silicon image sensors is 11.1 ps. The super-temporal resolution (STR) is defined as the resolution shorter than the limit, since common image sensors for visible light are made with silicon semiconductors. To achieve the STR, a backside-illuminated branching image sensor with a germanium photodiode and a center resi...
Article
Full-text available
The combination of NO annealing and subsequent post-nitridation annealing (PNA) in CO 2 ambient for SiO 2 /SiC structures has been demonstrated to be effective in obtaining both high channel mobility and superior threshold voltage stability in SiC-based metal-oxide-semiconductor field-effect transistors (MOSFETs). N atoms on the SiO 2 side of the S...
Article
Full-text available
The leakage current and flat-band voltage ( V FB ) instability of NO-nitrided SiC (1120) (a-face) MOS devices were systematically investigated. Although NO nitridation is effective in improving the interface properties, we found that it reduces the onset field of Fowler - Nordheim ( F - N ) current by about 1 MVcm ⁻¹ , leading to pronounced leakage...
Article
GaN-based metal–oxide–semiconductor (MOS) devices, such as n- and p-type capacitors and inversion- and accumulation-type p-channel field effect transistors (MOSFETs), were fabricated by Mg-ion implantation and ultra-high-pressure annealing (UHPA) under 1-GPa nitrogen pressure. Even though UHPA was conducted at 1400 °C without protective layers on G...
Article
Full-text available
Nitridation of SiO 2 /4H-SiC(1120) interfaces with post-oxidation annealing in an NO ambient (NO-POA) and its impact on the electrical properties were investigated. Sub-nm-resolution nitrogen depth profiling at the interfaces was conducted by using a scanning x-ray photoelectron spectroscopy microprobe. The results showed that nitrogen atoms were i...
Article
A recent study has shown that anomalous positive fixed charge is generated at SiO 2 /GaN interfaces by forming gas annealing (FGA). Here, we conducted systematic physical and electrical characterizations of GaN-based metal-oxide-semiconductor (MOS) structures to gain insight into the charge generation mechanism and to design optimal interface struc...
Article
Full-text available
High-temperature CO2 treatments for 4H-SiC(0001) surfaces and SiO2/SiC structures were investigated. A stoichiometric SiO2 insulating layer was found to be grown on SiC by thermal oxidation in atmospheric CO2 ambient with an activation energy of 7.5 eV. Post-oxidation annealing (POA) in CO2 at a temperature of 1200 °C or more was effective in reduc...
Article
Terahertz (THz) emission spectroscopy (TES) was used to evaluate the properties of interfaces between thermally grown oxides and 4H-SiC(0001) substrates. Metal–oxide–semiconductor (MOS) structures with transparent electrodes were irradiated with a femtosecond laser pulse and the emitted THz signal was measured by changing the applied gate voltage....
Article
Full-text available
Complementary metal-oxide-semiconductor inverters featuring steep voltage-transfer characteristics (VTC) were successfully fabricated on 4H-SiC(0001) substrates. Even without nitridation of the MOS interfaces, well-balanced n- and p-channel field-effect transistors were realized by means of ultrahigh-temperature gate oxidation (HTO) at 1600 °C unde...
Article
Full-text available
We demonstrate backscattering X-ray imaging using a Fresnel zone aperture (FZA), where the line width of the outermost circle is 100 μm. The method is a lensless imaging technique employing an optical aperture instead of a lens. We successfully reconstructed test objects from four images observed using a series of FZAs without an iterative procedur...
Article
Full-text available
Thermal activation of a Mg-doped GaN layer with a thin AlGaN capping layer was investigated by means of systematic electrical characterization. Two-dimensional electron gas generated at the AlGaN/GaN interface greatly inhibited activation of the underlying Mg-doped GaN layer. This finding is attributed to the charge states of the interstitial hydro...
Article
Full-text available
A burst image sensor named Hanabi, meaning fireworks in Japanese, includes a branching CCD and multiple CMOS readout circuits. The sensor is backside-illuminated with a light/charge guide pipe to minimize the temporal resolution by suppressing the horizontal motion of signal carriers. On the front side, the pixel has a guide gate at the center, bra...
Article
Full-text available
Temperature-dependent Hall effect measurements were conducted to investigate the channel conduction mechanisms of 4H-SiC metal-oxide-semiconductor field-effect transistors (MOSFETs). This method allows us to discriminate the impact of the density of mobile (free) carriers in the inversion channels and their net mobility on the performance of SiC MO...
Article
Full-text available
We investigated the effect of forming gas annealing (FGA) on the electrical properties of SiO2/GaN MOS devices. We conducted systematic capacitance–voltage measurements on metal-oxide-semiconductor structures and observed a significant amount of anomalous positive fixed charge (3 × 10¹² cm⁻²) at the interface between SiO2 and GaN for FGA temperatur...
Article
The phonon-limited mobility in 4H-silicon carbide (SiC) inversion channels was precisely evaluated by employing ultralow net doping concentrations. The measured mobility in the inversion channels of these samples was comparable to the electron mobility in bulk 4H-SiC, and the temperature dependence indicated that the mobility can be ascribed to pho...
Article
Full-text available
We demonstrated an x-ray photoelectron spectroscopy (XPS)-based technique to reveal the detailed nitrogen profile in nitrided SiO 2 /4H-SiC structures with sub-nanometer-scale-resolution. In this work, nitric oxide (NO)- and pure nitrogen (N 2 )-annealed SiO 2 /4H-SiC(0001) structures were characterized. The measured results of NO-annealed samples...
Article
Full-text available
To investigate the impact of Al atoms on channel mobility at SiO 2 /SiC interface, we fabricated the junctionless metal-oxide-semiconductor field-effect transistors (MOSFETs), in which thin n ⁺ -SiC epitaxial layers with and without Al ⁺ ion implantation were used as a channel, and compared their electrical characteristics. The effective mobility (...
Article
Full-text available
Abstarct The impact of controlling Ga-oxide (GaO x ) interlayers in SiO 2 /GaO x /GaN gate stacks is investigated by means of physical and electrical characterizations. Direct deposition of SiO 2 insulators produces thin GaO x interlayers, and subsequent oxidation treatment attains high-quality insulator/GaN interface. However, the Ga diffusion int...
Article
Full-text available
Similarities and differences in the design of the interfaces between gate dielectrics and GaN-based semiconductors were systematically investigated with a focus on the thermal stability of the interlayers. Although the excellent electrical properties of a SiO 2 /GaN interface with a thin Ga-oxide interlayer (SiO 2 /GaO x /GaN) were deteriorated by...
Article
Full-text available
We fabricated 4H-SiC(0001) p-channel metal-oxide-semiconductor field-effect transistors (pMOSFETs) with gate oxide formed at 1600 °C under an oxygen partial pressure of 0.3 kPa. The fabricated pMOSFETs showed superior performance, suggesting suppression of carbon-related defects at the SiO2/SiC interface. A further performance improvement was achie...
Article
Millimeter long GeSn wires were fabricated on quartz substrates by using an advanced rapid melt growth method, named "nucleation-controlled liquid-phase crystallization" (NCLPC). Local melting of amorphous GeSn wires surrounded by SiO 2 capping layers led to the propagation of single crystal growth from the solid/liquid interface without any crysta...
Article
An n-channel metal-oxide-semiconductor field-effect transistor (MOSFET) with high field-effect mobility (μFE) on 4H-SiC (0001) was fabricated using an ultrahigh-temperature gate-oxidation technique, and its improved channel mobility was demonstrated by employing a low-oxygen partial-pressure cooling procedure. The ideal flatband voltage for n-MOS a...
Article
Full-text available
We developed a technique to probe, with sub-nanometer-scale resolution, depth profiles of nitrogen atoms in NO-treated SiO2/4H-SiC structures for Si- and C-face substrates. This technique revealed that preferential nitridation only at the SiO2/SiC interfaces proceeds in the initial stage of NO annealing. Then, for the Si-face, a longer NO treatment...
Article
Full-text available
Improved performance in 4H-SiC metal-oxide-semiconductor field-effect transistors (MOSFETs) by incorporating Ba into insulator/SiC interfaces was investigated by using a combination of the Hall effect and split capacitance-voltage measurements. It was found that a moderate annealing temperature causes negligible metal-enhanced oxidation, which is r...
Article
Full-text available
We explored the passive–active oxidation boundary for the thermal oxidation of a 4H-SiC(0001) surface. The O2 partial pressure [P(O2)] for passive–active transition was found to be around 0.03 and 0.3 kPa at 1500 and 1600 °C, respectively. We also found that the passive–active oxidation boundary for an Al-implanted surface shifted to a slightly hig...
Article
Full-text available
We show how to improve microfocus X-ray radiography by using the SOPHIAS silicon-on-insulator pixel detector in conjunction with an amplitude grating. Single-exposure multi-energy absorption and differential phase contrast imaging was performed using the single amplitude grating method. The sensitivity in differential phase contrast imaging in a tw...
Article
GaN-based power devices have received much attention for their application in the next-generation high-frequency and high-power devices. Recently, AlGaN/GaN heterojunction field-effect transistors (HFETs) with Schottky gate structures have been implemented into modern wireless communication systems. However, their applications are restricted becaus...
Article
Germanium is a promising semiconductor material for both electronic and photonic devices owing to its high carrier mobility and pseudo-direct-bandgap properties. Recently, several approaches have been explored to modulate the energy band structure of Ge to direct bandgap, such as introducing tensile strain and Sn alloying. Highly n-type doping was...
Article
Full-text available
We investigated the impact of high-temperature H 2 /Ar mixture gas treatment of 4H-SiC(0001) surfaces before SiO 2 deposition on the electrical properties of SiO 2 /SiC interfaces. Physical characterizations revealed that the SiC surface treated by the H 2 /Ar mixture gas exhibited a (√3×√3) R 30° structure composed of Si-O bonds, indicating that a...
Article
Alumina incorporating nitrogen (aluminum oxynitride; AlON) for immunity against charge injection was grown on a AlGaN/GaN substrate through the repeated atomic layer deposition (ALD) of AlN layers and in situ oxidation in ozone (O3) ambient under optimized conditions. The nitrogen distribution was uniform in the depth direction, the composition was...
Article
Stacked gate dielectrics consisting of wide bandgap SiO2 insulators and thin aluminum oxynitride (AlON) interlayers were systematically investigated in order to improve the performance and reliability of AlGaN/GaN metal–oxide–semiconductor (MOS) devices. A significantly reduced gate leakage current compared with that in a single AlON layer was achi...
Article
The impacts of inserting ultrathin oxides into insulator/AlGaN interfaces on their electrical properties were investigated to develop advanced AlGaN/GaN metal–oxide–semiconductor (MOS) gate stacks. For this purpose, the initial thermal oxidation of AlGaN surfaces in oxygen ambient was systematically studied by synchrotron radiation X-ray photoelect...
Article
Full-text available
A simple and feasible method for fabricating high-quality and highly reliable GaN-based metal-oxide-semiconductor (MOS) devices was developed. The direct chemical vapor deposition of SiO2 films on GaN substrates forming Ga-oxide interlayers was carried out to fabricate SiO2/GaO x /GaN stacked structures. Although well-behaved hysteresis-free GaN-MO...
Article
Full-text available
A tensile-strained single-crystalline n-type GeSn-on-insulator structure was demonstrated by lateral liquid-phase crystallization of an Sb-doped GeSn layer, and the diffusion and activation behaviors of Sb atoms in a liquid-phase-grown GeSn wire were investigated. A photoluminescence-based study revealed that a substantial amount of Sb was swept ou...
Article
Important clues for achieving well-behaved AlGaN/GaN metal-oxide-semiconductor (MOS) devices with Al-based gate dielectrics were systematically investigated on the basis of electrical and physical characterizations. We found that low-temperature deposition of alumina insulators on AlGaN surfaces is crucial to improve the interface quality, thermal...
Article
The impact of thin Ga-oxide (GaOx) interlayers on the electrical properties of GaN-based metal-oxide-semiconductor (MOS) devices was systematically investigated. Thin thermal oxides formed at around 900 °C were found to be beneficial for improving the electrical properties of insulator/GaN interfaces, despite the fact that thermal oxidation of GaN...
Article
Full-text available
This paper reviews our recent work on ultrahigh-temperature oxidation of 4H-SiC(0001) surfaces. Our rapid thermal oxidation experiments demonstrated the reaction-limited linear growth at temperatures ranging from 1200 to 1600°C. The Arrhenius plot of linear growth rate of thermal oxidation can be fitted by a linear line, and the activation energy o...
Article
Full-text available
Surface morphology and electrical properties of silicon dioxide (SiO2) on 4H-SiC substrates formed by metal-enhanced oxidation (MEO) using barium (Ba) atoms were systematically investigated. It was found that severe surface roughening caused by Ba-MEO can be suppressed by using SiO2 capping prior to MEO. The Ba atoms at the SiO2/SiC interface were...
Article
We conducted a rapid water-quenching procedure with ultrahigh-temperature oxidation to avoid degradation of the high-quality SiO2/SiC interface formed by ultrahigh-temperature oxidation during the cooling process. A reduction in the interface state density was observed for the SiO2/4H-SiC(0001) interface formed by ultrahigh-temperature oxidation in...
Article
Initial oxidation of gallium nitride (GaN) (0001) epilayers and subsequent growth of thermal oxides in dry oxygen ambient were investigated by means of x-ray photoelectron spectroscopy, spectroscopic ellipsometry, atomic force microscopy, and x-ray diffraction measurements. It was found that initial oxide formation tends to saturate at temperatures...
Article
We developed a method of forming single-crystalline germanium-tin (GeSn) alloy on transparent substrates that is based on liquid-phase crystallization. By controlling and designing nucleation during the melting growth process, a highly tensile-strained single-crystalline GeSn layer was grown on a quartz substrate without using any crystal-seeds or...
Article
Metal-enhanced oxidation (MEO) using ultrathin Ba layers on 4H-SiC surfaces was investigated by physical and electrical characterizations. We found that while comparable oxidation rates were enhanced for Si- and C-face surfaces even at a low temperature, significant surface and interface roughness were induced by initial MEO termed the incubation p...
Conference Paper
Record-high mobility Ge-based TFT (μfe: 423 cm 2 /Vs) and significant enhancement of near-infrared (NIR) luminescence (×54 Ge bulk) were demonstrated with single-crystalline GeSn layer on transparent substrate grown by a novel liquid-phase crystallization technique. Our GeSn growth scheme is fully compatible with the conventional CMOS process and c...
Article
Ultrahigh-temperature rapid thermal oxidation of 4H-SiC(0001) surfaces in dry O2 ambient was performed at temperatures up to 1700 °C. The temperature dependence of the reaction-limited linear growth rate of a thermal SiO2 layer revealed that not active but passive oxidation is dominant even at 1600 °C, and its activation energy was estimated to be...
Article
Full-text available
Interface reactions between Ti-based electrodes and n-type GaN epilayers were investigated by synchrotron radiation X-ray photoelectron spectroscopy. Metallic Ga and thin TiN alloys were formed at the interface by subsequently depositing Al capping layers on ultrathin Ti layers even at room temperature. By comparing results from stacked Ti/Al and s...
Article
Full-text available
The superior physical and electrical properties of aluminum oxynitride (AlON) gate dielectrics on AlGaN/GaN substrates in terms of thermal stability, reliability, and interface quality were demonstrated by direct AlON deposition and subsequent annealing. Nitrogen incorporation into alumina was proven to be beneficial both for suppressing intermixin...
Article
AlGaN/GaN high electron mobility transistor (HEMT) has gained much attention as next-generation high frequency and high power devices. Since AlGaN/GaN HEMT with Schottky gate is restricted in device application due to large gate leakage current and normally-on operation, metal-oxide-semiconductor (MOS) gate stack with deposited gate insulator has b...
Article
X-ray diffraction curves of oxidized Si nanowires with a strain distribution having a trapezoidal cross-section are analyzed using an X-ray kinematical treatment. The analysis is carried out assuming a strain distribution and cross-sectional shape to calculate a diffraction curve, followed by comparing it to the experimental one. The calculated dif...
Article
Full-text available
The radiative defect centers in thermally-grown SiO2/4H-SiC structures with high-temperature post-oxidation annealing (POA) in various ambient gas, i.e. Ar, H2, and NOx, were examined using cathodoluminescence (CL) measurement. It was found that radiative centers with an extremely high luminescent efficiency were remained at the SiO2/SiC interfaces...
Article
Full-text available
We evaluated the effect of NO annealing on hole trapping characteristic of SiC metal-oxide-semiconductor (MOS) capacitor by measuring flatband voltage (VFB) shifts during a constant negative gate voltage stress under UV illumination. Under low stress voltages, the VFB shift due to hole trapping was found to be suppressed by NO annealing. However, t...
Article
Full-text available
The efficient and practical method for SiO2/4H-SiC interface improvement using post-oxidation annealing (POA) in pure N2 ambient was studied by means of x-ray photoelectron spectroscopy (XPS) analysis and electrical characterization. SiC-MOS capacitors with slope-shaped thermal oxides were used to investigate optimal conditions for interface nitrid...
Article
Full-text available
The mechanism of flatband voltage shift in SiC metal-oxide-semiconductor (MOS) capacitors with stacked gate dielectrics consisting of aluminum oxynitride (AlON) layers and SiO2 underlayers was investigated by varying the AlON and SiO2 thicknesses. The flatband voltages of the fabricated capacitors with fixed SiO2 underlayer thicknesses were almost...
Article
Full-text available
We have developed a compact compression test stage for synchrotron radiation (SR) micro-Laue diffraction (MLD) measurements to investigate the deformation behavior of Mg–Zn–Y alloys with long-period stacking-ordered (LPSO) structures. The stage can compress a small sample with a size of 0.3 × 0.3 × 1.0 mm3. The loading can be changed from 0 to 100...
Article
Full-text available
Schottky source/drain (S/D) Ge-based metal-oxide-semiconductor field-effect transistors (MOSFETs) were fabricated by combining high permittivity (high-k) gate stacks with ultrathin AlOx interlayers and Fermi level depinning process by means of phosphorous ion implantation into NiGe/Ge contacts. Improved thermal stability of the metal/high-k/Ge stac...
Article
The thermal diffusion of germanium and oxygen atoms in HfO2/GeO2/Ge gate stacks was comprehensively evaluated by x-ray photoelectron spectroscopy and secondary ion mass spectrometry combined with an isotopic labeling technique. It was found that O-18-tracers composing the GeO2 underlayers diffuse within the HfO2 overlayers based on Fick's law with...
Article
We investigated the optical properties of undoped and n-type GeSn wires fabricated by a lateral liquid-phase epitaxial method. The Sn concentration was approximately 0.5% in the region from the seed to near the wire end. Moreover, the Sn concentration increased to 6% at the wire end, whereas Si diffusion from the seed was enhanced and extended to 2...
Article
Full-text available
In this study, we examined five types of phase gratings in a two-dimensional (2D) single grating interferometer with multidot metal targets embedded in a diamond substrate. For a phase grating consisting of two stacked 1D π/2-phase gratings and a checkerboard π-phase grating the multidot-pattern self-images with high visibility (40%) were obtained...
Article
Back-gate Ge-on-insulator metal–oxide–semiconductor field-effect transistors were fabricated using Ge wires grown by lateral liquid phase epitaxy. They exhibited a very high peak hole mobility of 511 cm²/Vs and an on/off current ratio of 10⁶ due to the superior crystalline quality of the Ge wires. The optical properties of the Ge wires were also in...
Article
Full-text available
An alternative and effective method to perform interface nitridation for 4H-SiC metal-oxide-semiconductor (MOS) devices was developed. We found that the high-temperature post-oxidation annealing (POA) in N2 ambient was beneficial to incorporate a sufficient amount of nitrogen atoms directly into thermal SiO2/SiC interfaces. Although N2-POA was inef...
Article
The kinetics of dry and wet thermal oxidation of 4H-SiC(0001) Si-face surfaces were systematically examined to clarify the interface-reactionlimited growth depending on the oxidizing agent. A gradually retarded oxide growth in the early stage of oxidation (<15 nm) and then a linear growth corresponding to a real interface-reaction-limited growth ex...

Network

Cited By