Seunggi Seo

Seunggi Seo
Stanford University | SU · Department of Chemical Engineering

PhD

About

33
Publications
2,855
Reads
How we measure 'reads'
A 'read' is counted each time someone views a publication summary (such as the title, abstract, and list of authors), clicks on a figure, or views or downloads the full-text. Learn more
417
Citations

Publications

Publications (33)
Article
Molybdenum disulfide (MoS2), a semiconducting two-dimensional layered transition metal dichalcogenide (2D TMDC), with attractive properties enables the opening of a new electronics era beyond Si. However, the notoriously high contact resistance (RC) regardless of the electrode metal has been a major challenge in the practical applications of MoS2-b...
Article
Alkoxide precursors have been highlighted for depositing carbon-free films, but their use in Atomic Layer Deposition (ALD) often exhibits a non-saturated growth. This indicates no self-limiting growth due to the chain reaction of hydrolysis or ligand decomposition caused by β-hydride elimination. In the previous study, we demonstrated that self-lim...
Article
The aggressive scaling down of patterning technology in semiconductor industry is challenging owing to the limitation of current extreme ultraviolet EUV lithography technique, thus applying self-aligned double patterning (SADP) is essential. In this regard, TiSiOx may be a promising spacer material for SADP, which is beneficial to tolerate high asp...
Article
Atomic layer deposition (ALD) of multicomponent materials is challenging because the growth characteristics often deviate from what is expected due to the difference in surface characteristics of heterogeneous and single materials, resulting in undesired thickness or properties. For metal oxides, the growth characteristics highly rely on the surfac...
Article
Atomic layer deposition (ALD) is a technologically important method to grow thin films with high conformality and excellent thickness control from vapor phase precursors. The development of new thermal ALD processes can be limited by precursor reactivity and stability: reaction temperature and precursor design are among the few variables available...
Article
We compared the growth characteristics and film properties of tungsten (W) thin films prepared by atomic layer deposition (ALD) using two newly synthesized precursors, tungsten pentachloride (WCl5) and ethylcyclopentadienyltungsten(V) tricarbonyl hydride (HEtCpW(CO)3), with Ar/H2 plasma as the reactant. The purpose of this study was to evaluate the...
Article
For continuous scaling down of semiconductor devices, metal deposition has been developed as a plug filling process for interlayer connecting. Tungsten (W) has a wide range of industrial applications since it has a relatively good electrical conductivity and a high electromigration durability due to its high melting point of 3380 °C. [1] Among vari...
Article
In this study, the excellent hydrogen barrier properties of the atomic-layer-deposition-grown Al2O3 (ALD Al2O3) are first reported for improving the stability of amorphous indium gallium zinc oxide (a-IGZO) thin-film transistors (TFTs). Chemical species in Al2O3 were artificially modulated during the ALD process using different oxidants, such as H2...
Article
Due to the scale down of the semiconductor device, the SiO 2 based dielectric has been replaced with a high dielectric constant dielectric due to the decrease in the reliability of the gate oxide against electric breakdown and the increased leakage current due to the direct tunneling of electrons. Among the various high-k dielectric materials, HfO...
Article
We developed doping technique of transition metal dichalcogenides based on atomic layer deposition (ALD) of oxide thin films. In this study, we deposited ALD Al2O3 overlayer using various oxidant including iso-propyl alcohol (IPA) and ethanol and investigated the doping effects depending on the choice of oxidant for ALD process. The doping effects...
Article
Full-text available
Two-dimensional transition metal dichalcogenides (2D TMDs) is one of the promising materials for future electronics since they have, not only superior characteristics, but also a versatility that conventional materials do not have with a few nanometer thickness. One of the prerequisites for applying these materials to device fabrication is to depos...
Article
We introduce the synthesis of hybrid nanostructures comprised of ZnO nanocrystals (NCs) decorating nanosheets and nanowires (NWs) of MoS2 prepared by atomic layer deposition (ALD). The concentration, size, and surface-to-volume ratio of the ZnO NCs can be systematically engineered by controlling both the number of ZnO ALD cycles and the properties...
Article
Fine-tuning of the surface free energy (SFE) of a solid material facilitates its use in a wide range of applications requiring precise control of the ubiquitous presence of liquid on the surface. In this study, we found that the SFE of rare-earth oxide (REO) thin films deposited by atomic layer deposition (ALD) gradually decreased with increasing f...
Article
In this study, the growth characteristics and film properties of the atomic layer deposition (ALD) of Al2O3 are systemically identified using various oxidants (i.e., H2O, H2O2, CH3COOH, and O3). Among these reactants, ALD Al2O3 grown by using H2O2 exhibits excellent density and refractive index, which may be attributed to the large number of hydrox...
Article
Amorphous In-Ga-Zn-O (a-IGZO) semiconductors have been used as an active channel material in high mobility, flexible, and transparent thin film transistors (TFTs), but it is highly influenced by the external environment. Many factors could affect TFT performance, such as moisture, oxygen, and hydrogen, etc. Among them, hydrogen incorporation into t...
Article
Atomic layer deposition (ALD) is a vapor phase thin film deposition technique based on self-limited surface reaction. ALD processes consist of two (or more than) half-reactions. The first half-reaction is the self-limiting adsorption of precursor molecules which contain core metal atoms; the second half reaction is the self-limiting reaction betwee...
Article
In the present study, SiO 2 was deposited using the atomic layer deposition (ALD) with a 1,2-bis(diisopropylamino)disilane (BDIPADS) precursor. The use of this precursor exhibited a higher growth rate and lower initial growth temperature than the use of diisopropylaminosilane (DIPAS) did. The ALD reaction using BDIPADS produced SiO 2 with excellent...
Article
We investigated the moisture barrier properties of SiO2 layers deposited via plasma-enhanced atomic layer deposition (PE-ALD) for encapsulation of an organic light-emitting diode (OLED). First, the growth characteristics and film properties of PE-ALD SiO2 using diisopropylamino silane (DIPAS) and O2 plasma were investigated. The film properties wer...
Article
Full-text available
To implement two-dimensional (2D) transition metal dichalcogenides (TMDCs) in electric devices, a top-gated device structure is desired. However, there has been possibility of the channel layer being damaged during the upper dielectric deposition process. Because several layers of 2D TMDCs are atomically thin, the damage may significantly degrade t...
Article
Atomic layer deposition (ALD) is a method to fabricate uniform and conformal thin films based on surface chemistry. Al2O3 (alumina) is the most widely and thoroughly studied material in the field of oxide ALD. Most previous mechanistic studies on ALD of Al2O3 have been focused on the surface reaction step of Al-containing precursor: for the popular...
Article
Dynamic random access memory (DRAM) is reaching the scaling limit owing to the requirements for a high capacitance density and low leakage current of metal–insulator–metal (MIM) capacitors. We investigated the Ta-doped ZrO2 dielectric as a novel high-k candidate, utilizing the precise control of Ta-doping concentration using the atomic layer deposi...
Article
Downscaling of complementary metal-oxide semiconductor (CMOS) gate stacks requires the introduction of ultra-thin and high-k dielectrics such as HfO2. Atomic layer deposition (ALD) is an excellent technique for producing high-quality high-k films. During ALD, chemical reactions on the substrate surface involve multiple processes that affect the che...
Article
Hydrogenated amorphous carbon (a-C:H) thin films were prepared by hydrogen plasma-enhanced atomic layer deposition (PE-ALD). The a-C:H thin films were grown at low temperatures in the range of 150–350 °C using CBr4 as the precursor and hydrogen plasma as the reactant. Raman spectroscopy, secondary ion mass spectrometry, X-ray photoelectron spectros...
Article
The reaction mechanism of area-selective atomic layer deposition (AS-ALD) of Al2O3 thin films using self-assembled monolayers (SAMs) was systematically investigated by theoretical and experimental studies. Trimethylaluminum (TMA) and H2O were used as the precursor and oxidant, respectively, with octadecylphosphonic acid (ODPA) as an SAM to block Al...
Article
We investigated the electrical and optical properties of indium zinc oxide (IZO)/Ag/IZO oxide–metal–oxide (OMO) multilayer for a flexible and transparent electrode of the electronic applications. The optimized OMO multilayer structures with excellent sheet resistance of 5.65 Ω/sq as well as average transmittance of 87.7% in the visible range were e...

Network

Cited By