Conference PaperPDF Available

Power Management for Energy Harvesting in IoT – A Brief Review of Requirements and Innovations

Authors:
Power Management for Energy Harvesting in IoT – A
Brief Review of Requirements and Innovations
Sanad Kawar1, Shoba Krishnan1 and Khaldoon Abugharbieh2
1Department of Electrical and Computer Engineering, Santa Clara University, Santa Clara, CA
2Electrical Engineering Department, Princess Sumaya University for Technology, Amman, Jordan
Abstract The Internet of Things (IoT) requires billions of
wireless sensor network (WSN) nodes that rely on energy
harvesting from ambient sources to recharge their limited-
capacity batteries and achieve energy-autonomous operation.
However, IoT is an evolving field with a vast number of
applications that utilize different standards and protocols. Hence,
power specifications for energy harvesting and WSN power
management circuits vary and it can be difficult for circuit
designers and researchers to identify the appropriate power
specifications to target in their designs. This paper presents a brief
guide on ambient power levels available for harvesting in low-
power IoT applications as well as the power levels consumed by
WSNs in various IoT communication protocols. It also presents a
short review of various innovations in power management for low-
power WSNs that enable harvesting with high power conversion
efficiency. This work can be used as a resource by circuit designers
researching power management for energy-harvested WSN nodes.
KeywordsEnergy Harvesting, IoT, MPPT, Power
Management, WSNs.
I. INTRODUCTION
The Internet of Things (IoT) enables intelligent monitoring
and management in many applications. This includes industrial
and biomedical systems as well as environmental and
infrastructure monitoring [1][3]. To enable these applications,
IoT requires a vast infrastructure that must be implemented
reliably on both the software and hardware levels. This includes
system-level software and network/server infrastructure as well
as wireless sensor network (WSN) nodes, equipped with
integrated sensors, actuators, a microcontroller, and a
transceiver [4], [5].
However, there are many challenges rising from the
requirements for WSN nodes to be small-sized and off-grid. For
example, the implantable intraocular device in [2] measures
5mm x 5mm x 1.5mm. The required small form-factor results in
limited-capacity batteries that need periodic replacement. This
is becoming increasingly challenging and costly. Thus,
increasing lifetime and ultimately achieving energy autonomy in
WSNs is of utmost importance [6].
To enable energy-autonomous operation, energy harvesting
from ambient sources such as solar and thermal sources is
necessary to recharge on-board energy storage such as batteries
and supercapacitors [7]. However, the harvested voltage is often
below 0.6V, which is too low to charge batteries or power
CMOS circuits and will require boosting to a higher voltage [7].
Furthermore, there are two main challenges in harvesting
energy for WSN nodes. First, the available energy is
environment-dependent and can vary significantly with ambient
conditions. For example, a 2.6mm x 3mm solar cell may produce
20nW-200µW depending on illuminance. Second, in many
applications, nodes are deployed in harsh environments and
energy must be harvested from ultra-low power levels. In
biological sensing and infrastructure monitoring applications,
for instance, ambient power levels can be in the pW to nW range
due to quiet, dark, and cold environments [8].
To tackle these stringent power constraints, a power
management unit (PMU) that includes maximum power point
tracking (MPPT), is vital. A DC-DC up-converter boosts the
harvested voltage and delivers power to energy storage [3].The
MPPT system adjusts the converter to achieve the highest power
conversion efficiency (PCE). However, IoT is an evolving field
with a vast number of applications that utilize different standards
and protocols. Hence, power specifications for energy
harvesting and WSN power management circuits vary, and it can
be difficult for circuit designers and researchers to identify the
appropriate power specifications to target in their designs.
This paper discusses ambient power levels available for
harvesting in low-power IoT applications as well as WSN power
consumption in various IoT communication standards and
protocols. It also presents a brief review of various innovations
in power management for low-power WSNs that enable high
PCE harvesting. This work can be used as a resource when
designing power management circuits for energy-harvested
WSN nodes. The rest of this paper is organized as follows:
Section II discusses low-power IoT applications. Section III
presents a system-level overview of WSN nodes and their power
requirements in various communication standards and protocols.
Section IV presents a brief review of key innovative techniques
in recent energy harvesting and MPPT literature that enable
harvesting with high PCE at low power levels. Finally, Section
V concludes this work.
II. LOW-POWER IOT APPLICATIONS
IoT spans various industries, including agriculture,
automotive, and consumer electronics. Additional applications
include smart grids, cities, and buildings. In many cases,
sufficient energy is available to harvest. However, in
applications such as healthcare, infrastructure monitoring,
industrial applications as well as animal and environmental
monitoring, WSN nodes can face harsh environments with ultra-
low power levels available to harvest from. In this section,
examples of such applications and the power levels available for
energy harvesting in them are discussed.
Infrastructure monitoring involves sensing the structural
health of critical civil, military, and aerospace structures in order
to detect damage in early stages. Examples of such structures
include bridges, buildings, aerial vehicles as well as tanks and
oil rigs [9]. Additionally, the health of transmission lines and
978-1-6654-2461-5/21/$31.00 ©2021 IEEE 360
2021 IEEE International Midwest Symposium on Circuits and Systems (MWSCAS) | 978-1-6654-2461-5/21/$31.00 ©2021 IEEE | DOI: 10.1109/MWSCAS47672.2021.9531846
Authorized licensed use limited to: SANAD KAWAR. Downloaded on September 21,2021 at 04:19:45 UTC from IEEE Xplore. Restrictions apply.
substations can be monitored [1]. Some structures, such as
military planes, require daily monitoring, whereas other
structures, such as bridges, can be monitored less frequently [9].
Due to the placements of WSN nodes in infrastructure
monitoring, nodes may be hidden in inaccessible locations and
must operate reliably for decades [3]. It is often dark, and can be
quiet and cold which results in very low ambient power levels
available for harvesting [8].
In environmental and animal monitoring applications, WSN
nodes can be used to monitor the quality of natural resources,
such as water pollution levels. WSN nodes can also play a key
role in the early detection and prevention of forest fires [10].
Biological sensing can be used for animal health management.
For instance, a timely diagnosis of diseases in dairy cattle and
poultry farms can be provided by monitoring glucose levels,
proteins, or enzymes in the bloodstream. Additionally, sensors
and wearables can be implanted on animals to test for harmful
compounds such as antibiotic residues. It is also possible to
measure body temperature and detect the presence of viruses and
pathogens [11]. For wildlife animals, such as zebras and turtles,
the activity and living conditions can be monitored by tracking
their motion and location in order to study their habitats,
migration patterns, and group sizes [12]. Placing sensor nodes
on moving animals can limit ambient powers to ultra-low power
levels. For example, animals can stay in the shade for a long
period of time. Moreover, some animals such as turtles can move
into the water [12]. Hence, nano-generators and biofuel cells can
harvest power in the pW-nW range in some conditions [8].
In healthcare, implantable medical devices and IoT
wearables can be used to constantly monitor vital signs such as
pulse, blood pressure, and ECG signals of persons at risk such
as the elderly [13]. They can also be used to detect falls in elderly
patients and help patients manage chronic conditions and
recover from injuries or surgeries [5], [14]. For example,
artificial pancreases, which are implanted glucose monitors
wirelessly connected to insulin pumps, can ease the life of many
type-1 diabetic patients. Post-surgery sensors can be used to
detect changes in pH or white blood cell concentrations to
prevent infections. Moreover, future pace-makers will consist of
sensing and pacing devices implanted in the heart and wirelessly
connected to each other to enable advanced cardiac
resynchronization therapy [15]. In biomedical applications,
small footprint is important and harvested power can be very
low. For example in [2], a 1mm2 solar cell harvests 3.7nW to
8.3µW when illuminance is between 100lx and 100klx.
In industrial applications, WSN nodes enable automation by
increased sensing to allow optimal control of the process and to
improve product quality while reducing energy consumption.
WSN nodes can also be used for airflow management in
industrial facilities by monitoring microclimates in order to
facilitate control, reduce hotspots and energy waste and
consequently reduce operation costs. In industrial applications,
WSNs are often placed in dark indoor environments where
harvested power levels are below 15µW [16], [17].
In the applications discussed above, the harsh conditions and
ultra-low ambient power levels pose challenges on harvesting
energy with high PCE. Thus, many works in recent literature
focus on improving PCE for input power levels that are as low
as possible, as will be discussed in Section IV.
III. WSN SYSTEM-LEVEL OVERVIEW AND POWER
CONSUMPTION
IoT is an evolving field with a vast number of applications
that utilize different standards and protocols. Hence, power
specifications for energy harvesting and WSN power
management circuits vary. To understand the power levels
consumed by WSN nodes, Fig. 1 shows a generic WSN node
block diagram [18]. Sensors periodically provide data to a
microcontroller (µC) to be processed, then communicated via a
transceiver. The PMU receives harvested energy from the source
and delivers it to energy storage as well as other blocks in the
system. When in operation, WSN nodes require tens to hundreds
of mA [18], [19]. For example, the implantable ultrasonic
medical IoT system in [15], consumes 10-26mA. The traffic
monitoring WSN node in [19] consumes 88-231mA.
The power consumption is primarily dominated by the
transceiver, followed by the microcontroller. For example, the
microcontroller and transceiver shown in [18] burn 756µA and
148mA, respectively. In [20], the receiver consumes 35.28mA.
If operated continuously, even ultra-low power designs such as
the Arm Cortex-M3 microcontroller and the transceiver utilized
in [21], would burn 400µA and 3.7mA, respectively [22].
Despite these power levels, WSNs are deployed in harsh
environments where available power for harvesting is very low.
For example, many works in recent energy harvesting literature
focus on achieving higher power conversion efficiency at input
powers lower than 50µW, as will be discussed in Section IV [4],
[23], [24]. Hence, when WSN nodes are active, they consume
power that is orders of magnitude higher than what is provided
by energy harvesting. This presents a challenge to achieving
energy autonomy in WSN nodes [18].
To achieve energy-autonomous WSN nodes that can operate
in environments with low ambient power, power cycling or duty
cycling the system is key. In power cycling, a system is active
only for a fraction of the time and remains off or in sleep mode
otherwise [25]. As the system consumes very little energy during
sleep, this allows WSN nodes to save power. Typically, WSN
nodes remain in sleep mode for more than 99% of the time,
allowing the average power to approach the low values
consumed during sleep [17]. In [26] for example, the power
consumption of main activities for an industrial WSN node is
reported, as shown in Table I. While radio transmission
consumes 29.52mW, the system remains in standby for 99.9%.
Hence, average power consumption is 36µW.
One protocol used for long-range communications in IoT is
the LoRaWAN communications protocol. LoRaWAN is based
on low-power wide-area (LPWA) technology capable of
communicating over tens of kilometers [27]. For example, a
LoRaWAN-based infrastructure health monitoring WSN is
presented in [3]. The transmitter used in this system consumes
119mW when active and the system requires 214mJ of energy
for a complete cycle to sense, process, and transmit
Fig. 1 Generic WSN node block diagram
361
Authorized licensed use limited to: SANAD KAWAR. Downloaded on September 21,2021 at 04:19:45 UTC from IEEE Xplore. Restrictions apply.
Table I. Power consumption by activity for industrial WSN in [26]
Activity
Duration (ms)
Power Consumption (mW)
Standby
-
0.008
Radio receive
50
18.76
Radio transmit
5
29.52
Sensor polling
10
10.27
data. However, each cycle takes 2.13s and for low power, the
system can be activated every 4 hours. For the remaining time,
the system is completely powered off and leakage power during
that time is not reported. Hence, the average power consumption
can be calculated to be approximately 15µW.
For short and mid-range IoT communication, Bluetooth Low
Energy (BLE) is a popular standard [28]. As defined in the
physical layer of the BLE standard, a frequency band and bit rate
of 2.4GHz and 1MHz are used, respectively. The transmitter
power is specified as -20dBm to +10dBm [29]. Due to the low-
power requirements for the transmitter, which commonly
dominates power consumption in WSN nodes, BLE is suitable
for ultra-low power applications such as environmental
monitoring and biomedical WSN nodes [13]. For example,
in [30], a BLE transmitter for environmental monitoring
applications consumes 3.97mA when active and 5.2nW during
sleep. In [14], a BLE transmitter is designed for wearable
temperature sensing and free-fall detection applications. The
transmitter consumes 724µW when active and is enabled once
per minute. Hence the average power consumption is 20.6µW.
Another technology for short ranges is Near-field
Communication (NFC), which allows wireless communication
between devices using the 13.56MHz frequency band [31].
Compared to other wireless communication standards, NFC
offers a few advantages. First, NFC provides a quick and easy
way to obtain data from sensors by simply approaching the
reader to the tag, without the need to pair the devices. NFC is
also cheaper because it has the capability of storing data without
the use of microcontrollers or external memories. This results in
lower cost, complexity and power consumption [32]. Moreover,
NFC can enable battery-less operation by harvesting energy
from magnetic field induction between the reader and tag
antenna during communication [33]. NFC is commonly used in
agriculture and biomedical applications [31][33]. For example,
the NFC system in [31] is designed for wearable and implantable
devices and consumes 10-19.8mW when active. In [34] , a
battery-less NFC system for chronic wound monitoring
consumes 3.9mA when active.
Many WSNs define their communication protocol using the
IEEE 802.15.4 standard for low data-rate, low-power, and low-
complexity short-range radio frequency transmissions. One
example is the GreenNet protocol [16], [17]. For instance, a
GreenNet-based WSN implementation is described in [17] for
industrial and wearable applications in dark indoor
environments. GreenNet utilizes the IEEE 802.15.4 energy-
saving beacon mode, where nodes are synchronized with
periodic beacons and can only wake up at specific instances to
communicate [16]. The beacon mode settings are defined using
the beacon order parameter (BO), which configures the interval
between beacon transmissions (BI). The node remains in sleep
mode between beacon intervals. In [17], the power consumption
of each activity is detailed. A full cycle requires
569.69µJ. During sleep, the node consumes 3.58µA. Examples
Table II. GreenNet WSN node current consumption for different BO values [17]
BO
0
8
10
12
14
BI (s)
0.02
3.9
15.7
62.9
251.7
Average Current (µA)
12363.1
51.8
15.6
6.6
4.33
of BO and corresponding BI values are shown in Table II, along
with the average current required for each BO, where the higher
the BO/BI value, the lower the power required by this GreenNet-
based WSN [17]. Depending on how often the system is
activated, average power consumption can be as high as
12.36mW and as low as 4.33µW.
In conclusion, while WSN nodes consume tens to hundreds
of mA when active, they remain in sleep mode for more than
99% of the time. This allows their average power consumption
to be in the order of tens of µW or less.
IV. REVIEW OF ENERGY HARVESTING AND MPPT TECHNIQUES
Due to limitations in DC-DC converters, harvesting energy
with high PCE from the ultra-low ambient power levels
discussed in Section II is challenging. WSN nodes are size-
constrained in many applications [2]. Therefore, for WSN
PMUs, fully-integrated, switched-capacitor (SC) up-converters
are preferred over boost converters, because the latter requires a
large off-chip inductor [4]. Fig. 2 shows a conceptual illustration
of the PCE vs. input power (PIN) for SC converters. At high PIN,
MPPT schemes increase the converter’s switching frequency
(fSW) to match the available PIN levels and deliver more power
to the output [8]. However, parasitic capacitances limit the PCE
at these high PIN levels. At lower PIN, fSW is decreased and PCE
is limited by leakage, and the clock generation overhead [8]. The
range of PIN during which the converter achieves above 30-50%
PCE is referred to as the harvesting range with the lower end
referred to as the harvesting floor as shown in Fig. 2 [6], [8].
Consequently, it is challenging to achieve good PCE across the
large variation of available input power in energy-harvested IoT
applications, particularly at ultra-low PIN values. Hence, several
techniques have been presented in recent literature to extend the
harvesting range of SC converters towards lower power levels.
In this Section, a number of key papers in recent literature
are briefly discussed. This section does not provide a
comprehensive literature survey but rather highlights examples
of various techniques in prior art that attempt to reduce power
consumption and improve PCE and harvesting range in IoT
applications. In this chapter, the authors’ analysis focuses on key
innovative concepts in these papers.
A. Examples of Power Loss Reduction Techniques
In [8], a discontinuous harvesting technique is introduced
where leakage is reduced to push the harvesting floor to lower
PIN levels. This work argues that when harvesting from a solar
Fig. 2 SC converter power conversion efficiency vs. PIN [6]
362
Authorized licensed use limited to: SANAD KAWAR. Downloaded on September 21,2021 at 04:19:45 UTC from IEEE Xplore. Restrictions apply.
cell under very low power levels, the SC converter efficiency,
dominated by leakage, is the main bottleneck of performance as
opposed to the maximum power point operation of the system.
Hence, the entire harvesting system is periodically shut down to
minimize leakage. If available power is too low, the charge
pump (CP) and its control are turned off to minimize leakage,
and charge is accumulated on an external capacitor. Once the
voltage on the capacitor is high enough, the CP is enabled in
order to transfer charge to the battery. This trades off maximum
power point operation for higher overall PCE and an improved
harvesting range. This technique lowers the harvesting floor due
to lower losses, but the periodic start-up process results in larger
energy losses every time the CP initializes the capacitors at the
beginning of the transfer phase.
In [23], a charge recycling technique is introduced to reduce
parasitic bottom plate power losses which improves PCE. The
converter includes two flying capacitors and consequently, two
parasitic bottom plate capacitors. During the clock non-overlap
period, each parasitic bottom plate capacitor is first connected to
the other parasitic bottom plate capacitor before grounding it.
Due to the charge conservation law, half the charge is preserved
before discharging the rest. Hence, PCE is improved by 12.7%.
B. Example of One-Dimensional MPPT Innovations
Most MPPT schemes for SC converters tune the switching
frequency using a VCO to achieve the desired converter output
impedance and minimize losses, However, output impedance is
also a function of the flying capacitors value [6]. Hence, in [35],
capacitor value modulation is proposed instead of the
conventional frequency tuning. This is done to reduce MPPT
power consumption because adjusting conventional VCOs
requires analog blocks with quiescent current consumption.
However, capacitor value modulation can be implemented
digitally with a programmable capacitor bank and no additional
static power consumption. A fixed-frequency ring oscillator is
used since the frequency no longer needs to be adjusted.
C. Example of Two-Dimensional MPPT Innovations
In [4], a 2D MPPT system is introduced that tunes the
voltage conversion ratio of an SC converter in addition to the
conventional switching frequency tuning. To enable that, a
configurable CP architecture is used. Four stages of charge
pumps are used along with de-multiplexers to achieve various
conversion ratios between 1.33x and 8x of the input voltage.
Following that, the 2D MPPT architecture is presented. A
finite state machine (FSM) is used to first tune the conversion
ratio based on the input voltage. After that, the fSW is tuned by
controlling the VCO. The MPPT control circuit utilizes a hill-
climbing algorithm. This work enables good PCE operation at
multiple input voltages. It achieves a peak PCE of 79% at PIN
above 35µW and can achieve over 40% PCE for an input power
range of 2-50µW.
In [24], another 2D MPPT technique is presented. In
addition to the standard switching frequency tuning, it adjusts
the converter topology by adjusting the conversion ratio and
flying capacitor values. However, this approach utilizes an open-
loop look-up table. Four level detectors detect the voltage of the
solar cell (VPD) and adjust the oscillator frequency and the
converter’s conversion ratio and capacitance. This results in five
working regions. The conversion ratio varies between 4x and 7x
and the frequency varies between 100Hz and 150KHz. The
different levels are selected during the design phase to cover the
input voltage range of the solar cell and a look-up table approach
is used to select the appropriate converter topology and oscillator
frequency that would deliver the highest output current in every
working region. This 2D approach enabled operation at ultra-
low power levels, achieving an input power harvesting range of
0.5-10µW with PCE higher than 40%.
In [6] a discontinuous charging technique is proposed to
supply current to energy storage only during clock non-overlap
time. The clock non-overlap time is then utilized as a new
control variable to minimize converter losses according to the
sensed input power. For lower input power levels, clock non-
overlap time is reduced in order to reduce the average output
current and maintain high power conversion efficiency. The
system also incorporates conventional switching frequency
control. This system is input power-aware and is capable of
adaptively tuning the peak efficiency according to input power
levels it senses at the input. Hence, the peak PCE is reported at
three possible PIN. The system achieves an input power
harvesting range of 4-41µW with PCE of at least 45%.
The performance of the discussed techniques is summarized
and compared in Table III.
V. CONCLUSIONS
This work can be utilized as a resource for circuit design of
power management for energy-harvested WSN nodes. A brief
guide was presented on what ambient power levels should be
targeted for harvesting in low-power IoT applications as well as
the power levels consumed by WSNs in various IoT
applications. Since WSNs remain in sleep mode for more than
99% of the time, their average power consumption is in the order
of tens of µW or less. Therefore, when placed in harsh
environments, WSNs that can efficiently harvest energy from
ambient power levels below 15µW can enable energy-automous
applications. A short review of various innovations in power
management for low-power WSNs that enable harvesting with
high PCE at such power levels is presented.
Table III. Performance comparison of recent IoT energy harvesting and MPPT literature
Metric / Paper
[35]
[8]
[4]
[24]
[6]
Input Voltage Range
1.1-1.5V
0.25-0.65V
0.45-3V
0.17-0.5V
0.5-0.7V
PIN range with
PCE>40%
4.5-27µW
113pW-1.5µW
2-50µW
0.5-10µW
4-41µW
Peak Efficiency
86.4% @
13.9µWe
50% @ 8nW
79%
@PIN>35µW g
57% @ 3.63µW
73.5% @ 7.3µW or
75.6% @ 12.8µWor
73.3% @ 33.6µW
MPPT technique
1D:
Cap. value
modulation
1D:
Operates near MPP. Traded
off to achieve higher PCE
2D:
Sweeps fSW and
conversion ratio
2D:
Sweeps fSW and modifies
charge pump topology
PIN sensing and 2D:
Sweeps fSW and
clock non-overlap
363
Authorized licensed use limited to: SANAD KAWAR. Downloaded on September 21,2021 at 04:19:45 UTC from IEEE Xplore. Restrictions apply.
REFERENCES
[1] International Electrotechnical Commission, “Internet of Things:
Wireless Sensor Networks,” 2014. http://www.iec.ch/whitepaper/pdf/
iecWP-internetofthings-LR-en.pdf (accessed Apr. 20, 2020).
[2] D. Cabello et al., “On-Chip Solar Energy Harvester and PMU With
Cold Start-Up and Regulated Output Voltage for Biomedical
Applications,” IEEE Transactions on Circuits and Systems I: Regular
Papers, vol. 67, no. 4, pp. 11031114, Apr. 2020.
[3] G. Loubet, A. Takacs, and D. Dragomirescu, “Implementation of a
Battery-Free Wireless Sensor for Cyber-Physical Systems Dedicated to
Structural Health Monitoring Applications,” IEEE Access, vol. 7, pp.
2467924690, 2019, doi: 10.1109/ACCESS.2019.2900161.
[4] X. Liu, L. Huang, K. Ravichandran, and E. Sanchez-Sinencio, “A
Highly Efficient Reconfigurable Charge Pump Energy Harvester With
Wide Harvesting Range and Two-Dimensional MPPT for Internet of
Things,” IEEE Journal of Solid-State Circuits, vol. 51, no. 5, pp. 1302
1312, May 2016.
[5] Massimo Alioto, Ed., Enabling the Internet of Things:From Integrated
Circuits to Integrated Systems. Springer. New York, NY: Springer,
2017.
[6] S. Kawar, S. Krishnan, and K. Abugharbieh, “An Input Power-Aware
Efficiency Tracking Technique With Discontinuous Charging for
Energy Harvesting Applications,” IEEE Access, vol. 8, pp. 135195
135207, 2020, doi: 10.1109/ACCESS.2020.3011141.
[7] M. R. Elhebeary, M. A. A. Ibrahim, M. M. Aboudina, and A. N.
Mohieldin, “Dual-Source Self-Start High-Efficiency Microscale Smart
Energy Harvesting System for IoT,” IEEE Transactions on Industrial
Electronics, vol. 65, no. 1, pp. 342351, Jan. 2018.
[8] X. Wu et al., “A 20-pW Discontinuous Switched-Capacitor Energy
Harvester for Smart Sensor Applications,” IEEE Journal of Solid-State
Circuits, vol. 52, no. 4, pp. 972984, Apr. 2017.
[9] D. Musiani, K. Lin, and T. S. Rosing, “Active Sensing Platform for
Wireless Structural Health Monitoring,” in 6th Int. Symp. on
Information Processing in Sensor Networks, Cambridge, MA, USA,
2007, pp. 390399.
[10] B. Kizilkaya, E. Ever, and A. Yazici, “Comparative Study on Energy
Efficiency of WSNs and WMSNs for Surveillance Applications,” in
2019 26th Int. Conf. on Telecommunications (ICT), Hanoi, Vietnam,
Apr. 2019, pp. 501505, doi: 10.1109/ICT.2019.8798784.
[11] S. Neethirajan, “Recent advances in wearable sensors for animal health
management,” ElSevier Sensing and Bio-Sensing Research, vol. 12, pp.
1529, Feb. 2017, doi: 10.1016/j.sbsr.2016.11.004.
[12] S. Sudevalayam and P. Kulkarni, “Energy Harvesting Sensor Nodes:
Survey and Implications,” IEEE Communications Surveys & Tutorials,
vol. 13, no. 3, pp. 443461, 2011, doi:
10.1109/SURV.2011.060710.00094.
[13] G. Xu, “IoT-Assisted ECG Monitoring Framework With Secure Data
Transmission for Health Care Applications,” IEEE Access, vol. 8, pp.
7458674594, 2020, doi: 10.1109/ACCESS.2020.2988059.
[14] C. J. Lukas et al., “A 1.02 µW Battery-Less, Continuous Sensing and
Post-Processing SiP for Wearable Applications,” IEEE Transactions
on Biomedical Circuits and Systems, vol. 13, no. 2, pp. 271281, Apr.
2019.
[15] G. E. Santagati and T. Melodia, “An implantable low-power ultrasonic
platform for the Internet of Medical Things,” in IEEE INFOCOM 2017
- IEEE Conference on Computer Communications, Atlanta, GA, May
2017, pp. 19, doi: 10.1109/INFOCOM.2017.8057133.
[16] L.-O. Varga et al., “GreenNet: An Energy-Harvesting IP-Enabled
Wireless Sensor Network,” IEEE Internet of Things Journal, vol. 2, no.
5, pp. 412426, Oct. 2015.
[17] M. Markiewicz et al., “Software Controlled Low Cost Thermoelectric
Energy Harvester for Ultra-Low Power Wireless Sensor Nodes,” IEEE
Access, vol. 8, pp. 3892038930, 2020, doi:
10.1109/ACCESS.2020.2975424.
[18] J. J. Estrada-Lopez, A. Abuellil, A. Costilla-Reyes, and E. Sanchez-
Sinencio, “Technology Enabling Circuits and Systems for the Internet-
of-Things: An Overview,” in 2018 IEEE Int. Symp. on Circuits and
Systems, Florence, 2018, pp. 15, doi: 10.1109/ISCAS.2018.8351876.
[19] S. Ciccia, G. Giordanengo, and G. Vecchi, “Energy Efficiency in IoT
Networks: Integration of Reconfigurable Antennas in Ultra Low-
Power Radio Platforms Based on System-on-Chip,” IEEE Internet of
Things Journal, vol. 6, no. 4, pp. 68006810, Aug. 2019.
[20] A. Omairi, Z. H. Ismail, K. A. Danapalasingam, and M. Ibrahim,
“Power Harvesting in Wireless Sensor Networks and Its Adaptation
With Maximum Power Point Tracking: Current Technology and Future
Directions,” IEEE Internet of Things Journal, vol. 4, no. 6, pp. 2104
2115, Dec. 2017.
[21] Mouser Electronics, “Dialog Semiconductor DA14580 Low Power
Bluetooth Smart SoC.” https://www.mouser.com/new/dialog-
semiconductor/dialog-semi-da14580-soc/ (accessed Apr. 11, 2020).
[22] Analog Devices Inc., “Ultra Low Power Arm Cortex-M3 MCU.”
https://www.analog.com/media/en/technical-documentation/data-
sheets/ADuCM3027_3029.pdf (accessed Apr. 11, 2020).
[23] Y. Mahnashi and F. Z. Peng, “A Monolithic Voltage-Scalable
Fibonacci Switched-Capacitor DCDC Converter With Intrinsic
Parasitic Charge Recycling,” IEEE Transactions on Very Large Scale
Integration (VLSI) Systems, vol. 27, no. 5, pp. 11051113, May 2019.
[24] E. Ferro, V. M. Brea, P. Lopez, and D. Cabello, “Micro-Energy
Harvesting System Including a PMU and a Solar Cell on the Same
Substrate With Cold Startup From 2.38 nW and Input Power Range up
to 10 uW Using Continuous MPPT,” IEEE Transactions on Power
Electronics, vol. 34, no. 6, pp. 51055116, Jun. 2019.
[25] M. S. Mahmoud and A. A. H. Mohamad, “A Study of Efficient Power
Consumption Wireless Communication Techniques/ Modules for
Internet of Things (IoT) Applications,” Scientific Research Advances
in Internet of Things, vol. 06, no. 02, pp. 1929, 2016, doi:
10.4236/ait.2016.62002.
[26] J. M. Williams, F. Gao, Y. Qian, C. Song, R. Khanna, and H. Liu,
“Solar and RF Energy Harvesting Design Model for Sustainable
Wireless Sensor Tags,” in 2020 IEEE Topical Conf. on Wireless
Sensors and Sensor Networks, San Antonio, TX, USA, Jan. 2020, pp.
1–4, doi: 10.1109/WiSNeT46826.2020.9037497.
[27] A. Lavric and A. I. Petrariu, “LoRaWAN communication protocol: The
new era of IoT,” in 2018 Int. Conf. on Development and Application
Systems (DAS), Suceava, May 2018, pp. 7477, doi:
10.1109/DAAS.2018.8396074.
[28] G. Loubet, A. Takacs, E. Gardner, A. De Luca, F. Udrea, and D.
Dragomirescu, “LoRaWAN Battery-Free Wireless Sensors Network
Designed for Structural Health Monitoring in the Construction
Domain,” Sensors, vol. 19, no. 7, p. 1510, Mar. 2019, doi:
10.3390/s19071510.
[29] C. Gomez, J. Oller, and J. Paradells, “Overview and Evaluation of
Bluetooth Low Energy: An Emerging Low-Power Wireless
Technology,” Sensors, vol. 12, no. 9, pp. 1173411753, Aug. 2012,
doi: 10.3390/s120911734.
[30] S. Yang, J. Yin, H. Yi, W.-H. Yu, P.-I. Mak, and R. P. Martins, “A 0.2-
V Energy-Harvesting BLE Transmitter With a Micropower Manager
Achieving 25% System Efficiency at 0-dBm Output and 5.2-nW Sleep
Power in 28-nm CMOS,” IEEE Journal of Solid-State Circuits, vol. 54,
no. 5, pp. 13511362, May 2019, doi: 10.1109/JSSC.2018.2888874.
[31] A. Lazaro, M. Boada, R. Villarino, and D. Girbau, “Study on the
Reading of Energy-Harvested Implanted NFC Tags Using Mobile
Phones,” IEEE Access, vol. 8, pp. 22002221, 2020, doi:
10.1109/ACCESS.2019.2962570.
[32] M. Boada, A. Lazaro, R. Villarino, and D. Girbau, “Battery-Less NFC
Sensor for pH Monitoring,” IEEE Access, vol. 7, pp. 3322633239,
2019, doi: 10.1109/ACCESS.2019.2904109.
[33] S. N. Daskalakis, G. Goussetis, and A. Georgiadis, “NFC Hybrid
Harvester for Battery-free Agricultural Sensor Nodes,” in 2019 IEEE
International Conference on RFID Technology and Applications
(RFID-TA), Pisa, Italy, Sep. 2019, pp. 2225, doi: 10.1109/RFID-
TA.2019.8892237.
[34] H.-W. Cho, S.-H. Jo, J. H. Yoon, T.-S. Goh, B. G. Choi, and H.-J. Yoo,
“A Batteryless Chronic Wound Monitoring System With 13.56-MHz
Energy Harvesting,” IEEE Sensors Journal, vol. 19, no. 20, pp. 9431
9440, Oct. 2019, doi: 10.1109/JSEN.2019.2923847.
[35] X. Liu and E. Sanchez-Sinencio, “An 86% Efficiency 12 µW Self-
Sustaining PV Energy Harvesting System With Hysteresis Regulation
and Time-Domain MPPT for IOT Smart Nodes,” IEEE Journal of
Solid-State Circuits, vol. 50, no. 6, pp. 14241437, Jun. 2015.
364
Authorized licensed use limited to: SANAD KAWAR. Downloaded on September 21,2021 at 04:19:45 UTC from IEEE Xplore. Restrictions apply.
... In this framework, on-chip step-up (or boost) regulated voltage generators represent key components since they enable operation of systems relying on sub-1-V input voltage sources,such as single photovoltaic cells, thermoelectric generators and piezoelectric devices [1]- [5]. Among the various boost converter solutions available in literature, charge pumpsbased topologies represent the best option for integrated implementations since they rely on capacitors that are amenable for fully on-chip fabrication, as shown in previous works [6]- [10]. ...
... 2f RCT S C + sinh −11 2f RCT S C(4)where it is assumed that all capacitors C i and currents I Di are all equal to C and I D , respectively, I L is the CP current load and R OU T,CP is the CP output resistance whose expression1 See eq. 1 of[14] This article has been accepted for publication in IEEE Transactions on Circuits and Systems--II: Express Briefs. This is the author's version which has not been fully edited and content may change prior to final publication.Citation information: DOI 10.1109/TCSII.2022.3185525 ...
Article
In this brief an original scheme, exploiting the trans-conductive loop implemented through the bulk terminal of the PMOS transistors of cross-coupled charge pumps, is proposed to achieve the regulation of the output voltage of a charge pump. As compared to the conventional regulation schemes, the proposed one achieves wide regulation ranges in terms of input voltage and clock frequency, while achieving low circuit complexity. The solution is theoretically analyzed and exploited in a design example implemented in a 130-nm CMOS process and supplied by a single silicon photovoltaic cell. Experimental results show the effectiveness of the proposed regulation scheme when operating around the maximum power point. It provides a simple and effective solution with good performance in terms of line and load regulation, equal to $107\mu \text{V}$ /mV and 3.97 mV/ $\mu \text{A}$ , respectively, a power conversion efficiency of about 65% and an outstanding power density of 83 $\mu \text{W}$ /mm2.
... The second module, in turn, should match the voltage of the supercapacitor to that of the microcontroller. Many works, such as [16], [17], use an additional circuit only for extracting the optimal power, but it demands even more from the source. Here, we are going to use a voltage multiplier. ...
Conference Paper
Full-text available
This work proposes a circuit that incorporates techniques to optimize energy conversion and storage, enabling autonomous and sustainable operation from harvested magnetic energy in IoT devices. Through rigorous experimentation and analysis, the performance and reliability of the circuit were evaluated, demonstrating its potential for providing reliable power supply to IoT networks. The results demonstrate that combining strategies such as redundancy in energy using supercapacitors and for applications that do not demand highly active cycles, the use of magnetic field harvested energy can be used without batteries or optimization circuits.
... WSNs are in sleep mode more than 99% of the time, so their average power consumption is about a few tens of µW, or even less; therefore, when placed in harsh environments, energy management circuits that can efficiently harvest energy from less than 15 µW of ambient energy to power sensors are effective [89]. The miniaturization and off-grid nature of WSN nodes also imposes size requirements on energy management systems. ...
Article
Full-text available
The Internet of Things (IoT) has a great number of sensor nodes distributed in different environments, and the traditional approach uses batteries to power these nodes: however, the resultant huge cost of battery replacement means that the battery-powered approach is not the optimal solution. Micro energy harvesting offers the possibility of self-powered sensor nodes. This paper provides an overview of energy harvesting technology, and describes the methods for extracting energy from various sources, including photovoltaic, thermoelectric, piezoelectric, and RF; in addition, the characteristics of the four types of energy sources and the applicable circuit structures are summarized. This paper gives the pros and cons of the circuits, and future directions. The design challenges are the efficiency and size of the circuit. MPPT, as an important method of improving the system efficiency, is also highlighted and compared.
... The output power of nanogenerators is conventionally limited to µW with voltage levels in the order of mV. Advances in ultra-low-power and ultra-lowvoltage circuit design, specifically in the domain of smart power management units [162] are paving the way for the development of energy-autonomous biotelemetry systems. Thanks to modern fabrication techniques devices can also be designed to integrate multimodality energy harvesters. ...
Article
Full-text available
The advent of semiconductor technology in the mid-20 th century created unprecedented opportunities to develop a new generation of small-scale wireless medical sensing devices that can support remote monitoring of patients' vital signs. The first radio pills were developed as early as the 1950's using only a few transistors. These swallowable capsules could sense and wirelessly transmit vital parameters from inside the human body. Since then we have witnessed the rapid progress of medical devices driven by the evolution of semiconductor technology, from single-transistor oscillators to complex mixed-signal multi-channel and multi-modal systems. This paper retraces the evolution of biotelemetry devices from their very early inception to the smart miniaturized systems of modern days, focusing on semiconductor-enabled sensing methods and circuits developed over the last six decades. The paper also includes the author's perspective on current and future trends in the development of CMOS-based biotelemeters, focusing on concepts of implant modularity, miniaturization and hybrid energy harvesting solutions.
Article
Full-text available
The rapid development of the Internet of Things (IoT) technology endows some traditional devices with intelligent functions. Compared with traditional contact lenses used for correcting vision or beautifying the eyes, smart contact lenses (SCLs) are developed to monitor some physiological information of the eye. Sculls can be used to continuously monitor eye diseases non‐invasively in real‐time. As a personal electronic device, SCs can aid people in understanding their physical condition better without affecting their personal life. This review mainly discusses the development direction and problems associated with sculls from the perspective of the IoT. SCs consist of three main parts: biosensors, circuits, and human–machine interface (HMI) systems. These three parts correspond to the sensing, connection, and application layer of the IoT. Finally, the problems that may be encountered in the development of SCLs are discussed and potential solutions are proposed.
Article
Full-text available
This paper proposes a discontinuous charging technique for switched-capacitor converters that improves the power conversion efficiency (PCE) at low power levels and extends the input power harvesting range at which high PCE is achievable. Discontinuous charging delivers current to energy storage only during clock non-overlap time. This enables tuning of the output current to minimize converter losses based on the available input power. Based on this fundamental result, an input power-aware, two-dimensional efficiency tracking technique for Wireless Sensor Network (WSN) nodes is presented. In addition to conventional switching frequency control, clock non-overlap time control is introduced to adaptively optimize PCE according to the sensed ambient power levels. The proposed technique is designed and simulated in 90nm CMOS with post-layout extraction. Under the same input and output conditions, the proposed system maintains at least 45% PCE at 4μW input power, as opposed to a conventional continuous system which requires at least 18.7μW to maintain the same PCE. Therefore, the input power harvesting range is extended by 1.5x. The technique is applied to a wearable WSN implementation utilizing the IEEE 802.15.4-compatible GreenNet communications protocol. This allows the node to meet specifications and achieve energy autonomy when deployed in environments where the input power is 49% lower than what is required for conventional operation.
Article
Full-text available
The emerging Internet of Things(IoT) framework allows us to design small devices that are capable of sensing, processing and communicating, allowing sensors, embedding devices and other ’ things ’ to be created which will help to understand the surroundings. In this paper, the IoT assisted electrocardiogram (ECG) monitoring framework with secure data transmission has been proposed for continuous cardiovascular health monitoring. The development and implementation of a lightweight ECG Signal Strength Analysis has been proposed for automatic classification and realtime implementation, using ECG sensors, Arduino, Android phones, Bluetooth and cloud servers with the proposed IoT-assisted ECG monitoring system. For secure data transmission, the Lightweight Secure IoT (LS-IoT) and Lightweight Access Control (LAC) has been proposed. The ECG signals taken from the MIT-BIH and Physio Net Challenges databases and ECG signals for various physical activities are analyzed and checked in real-time. The proposed IoT assisted ECG monitoring framework has great potential to determine the clinical acceptance of ECG signals to improve the efficiency, accuracy and reliability of an unsupervised diagnostic system.
Article
Full-text available
General hardware architecture of an energy-harvested wireless sensor network node (EH-WSN) can be divided into power, sensing, computing and communication subsystems. Interrelation between these subsystems in combination with constrained energy supply makes design and implementation of EH-WSN a complex and challenging task. Separation of these subsystems into distinct hardware modules simplifies the design process and makes the architecture and software more generic, leading to more flexible solutions. From the other hand, tightly coupling these subsystems gives more room for optimizations at the price of increased complexity of the hardware and software. Additional engineering effort could be justified by a smaller, cheaper hardware, and more energy-efficient a wireless sensor node. The aim of this paper is to push further technical and economical boundaries related to EH-WSN by proposing a novel architecture which – by tightly coupling software and hardware of power, computing, and communication subsystems – allows the wireless sensor node to be powered by a thermoelectric generator working with about 1.5°C temperature difference while keeping the cost of all electronic components used to build such a node below 9 EUR (in volume).
Article
Full-text available
In this paper we study the read range of implanted sensors based on batteryless, Near-Field Communication (NFC) integrated circuits (IC) using an NFC-equipped smartphone as a reader. The most important challenges are the low coupling between loops of different sizes, the limited quality factor imposed by the bandwidth communication, the effects of the body on propagation, and the detuning of the antennas. Two systems are analyzed: a conventional system based on resonant coupling between two coils; and a system based on resonant coupling between three coils. With the latter, a relay antenna is attached to a patch, which is adhered to the skin. Simulations and measurements show that the quality factor of both antennas can be improved by including a spacer made of low-permittivity material. A circuit model is proposed for the implanted and relay antenna, which simplifies its usage in circuit simulators. Some implanted and relay antenna prototypes are analyzed and a system model that includes a nonlinear model of the tag is used to analyze the maximum depth at which the implant can be read. Our experimental results show that the system based on three coils performs much better performance at longer distances and is more robust to misalignments between coils. A 15×15 mm-implanted tag with commercial NFC IC and energy harvesting can be read using commercial smartphones. It can feed sensors at a distance of up to 16 mm inside the body and at a distance of 3 cm from the skin. Our results also show that data previously stored in the IC memory can be transferred to the reader located at distances of up to 2 cm and 3.8 cm for the 2-coil and 3-coil systems, respectively. This study demonstrates the potential of batteryless NFC sensors for biomedical and wearable applications using mobile phones as readers.
Conference Paper
Full-text available
This paper presents an efficient, low-complexity, low-cost hybrid power harvester for supplying agricultural battery-free sensor nodes. The design is a solar/electromagnetic (EM) energy harvester that uses energy from solar radiation and NFC (Near Field Communication) technology at the same time. The design consists of an NFC coil antenna, a full wave rectifier operating at 13.56 MHz, a solar cell and a capacitor for energy storage. The NFC coil antenna is connected to the rectifier circuit that provides the rectification of the incoming EM signals and the collection of dc energy coming from the solar cell. The harvester was connected to a commercial dc-dc converter and the open circuit voltage was increased from 80 mV to 4.6 V, charging a 1000 µF capacitor. The harvester was fabricated on FR-4 substrate in order to reduce the overall cost of the future sensor node. The stored energy could supply a sensor in a wireless network, with average power consumption 60 µW.
Article
This paper presents a power management unit (PMU) powered by a 1 mm <sup xmlns:mml="http://www.w3.org/1998/Math/MathML" xmlns:xlink="http://www.w3.org/1999/xlink">2</sup> solar cell on the same substrate to rise up the harvested voltage above 1.1 V. The on-chip solar cell and the PMU are fabricated in standard 0.18 μm CMOS technology achieving a form factor of 1.575 mm <sup xmlns:mml="http://www.w3.org/1998/Math/MathML" xmlns:xlink="http://www.w3.org/1999/xlink">2</sup> . The PMU is able to startup from a harvested power of 2.38 nW without any external kick off or control signal. The PMU features a continuous and two-dimensional maximum power point tracking (MPPT) working in open-loop mode to handle a harvested power range from nW to μW, by modifying both the charge pump topology and the switching frequency. The MPPT is based on four voltage level detectors that define five working regions depending on the illumination and on a self-tuning reference current for a fine adjustment of the switching frequency. The chip also includes an auxiliary charge pump to generate the voltage level necessary for the control circuit, implemented as a Pelliconi charge pump of eight stages with NMOS transistors in P-well as diodes. A Dickson charge pump with transmission gates as switches and with variable gain and capacitance per stage is also designed as the main charge pump. Finally, two relaxation oscillators are implemented to drive both charge pumps. This paper is accompanied by a video file demonstrating the PMU operation by powering an off-chip NOR gate.
Article
This paper presents experimental results from a system that comprises a fully autonomous energy harvester with a solar cell of 1 mm <sup xmlns:mml="http://www.w3.org/1998/Math/MathML" xmlns:xlink="http://www.w3.org/1999/xlink">2</sup> as energy transducer and a Power Management Unit (PMU) on the same silicon substrate, and an output voltage regulator. Both chips are implemented in standard $0.18~\mu \text{m}$ CMOS technology with total layout areas of 1.575 mm <sup xmlns:mml="http://www.w3.org/1998/Math/MathML" xmlns:xlink="http://www.w3.org/1999/xlink">2</sup> and 0.0126 mm <sup xmlns:mml="http://www.w3.org/1998/Math/MathML" xmlns:xlink="http://www.w3.org/1999/xlink">2</sup> , respectively. The system also contains an off-the-shelf 3.2 mm $\times2.5$ mm $\times0.9$ mm supercapacitor working as an off-chip battery or energy reservoir between the PMU and the voltage regulator. Experimental results show that the fast energy recovery of the on-chip solar cell and PMU permits the system to replenish the supercapacitor with enough charge as to sustain Bluetooth Low Energy (BLE) communications even with input light powers of 510 nW. The whole system is able to self-start-up without external mechanisms at 340 nW. This work is the first step towards a self-supplied sensor node with processing and communication capabilities. The small form factor and ultra-low power consumption of the system components is in compliance with biomedical applications requirements.
Conference Paper
The infrastructure required to deliver various “Internet of Things” (IoT) services is expected to be widely available in the very near future for application areas such as Smart Cities, Smart Homes, Intelligent Transportation and Smart Monitoring in the coming decades. In fact, it is expected that the number of devices connected to the Internet will exceed 50 billion by 2020. It is possible to use these infrastructures for environmental monitoring systems, especially for the early detection and prevention of disasters such as forest fires. Wireless sensors are an essential part of the IoT infrastructure and environmental monitoring/surveillance systems. Wireless sensor networks based on scalar sensors and wireless multimedia sensor networks are widely used for environmental monitoring. Multimedia surveillance systems have better accuracy but a shorter lifetime with multimedia surveillance systems. Therefore, it is necessary to study in detail their energy efficiency to better understand the introduction of more efficient algorithms and architectures. In this study, the lifetimes of the Wireless Sensor Networks(WSNs) and Wireless Multimedia Sensor Networks(WMSNs) are considered in a comparative way. The results are presented for a forest fire detection case study using simulations as well as an example of a test bench to confirm the accuracy of the simulation tool used.
Article
This paper proposes a batteryless chronic wound monitoring system to accurately diagnose the wound states with lowered cost. To acquire various biomarkers, the proposed system could interface different types of sensor signals (voltage, resistive, and capacitive) using dual-slope integrator circuit technology. A time-to-digital converter is used to digitize the acquired signals. The proposed system adopts 13.56-MHz near-field communication for energy harvesting and sending data. The proposed IC is implemented using the standard TSMC 0.18- $\mu \text{m}$ CMOS process and occupies an area of 0.5 mm <sup xmlns:mml="http://www.w3.org/1998/Math/MathML" xmlns:xlink="http://www.w3.org/1999/xlink">2</sup> . The power consumptions of the proposed interface IC and total system are 1.9 and 3.9 mW, respectively.