Conference PaperPDF Available

A SKILLTM-based library for retargetable embedded analog cores

Authors:

Abstract and Figures

This paper describes the automatic generation and reusability of physical layouts of analog and mixed-signal blocks based on high-functionality pCells that are fully independent of technologies. The high-functionality pCell library presently contains over 42 pCells and is fully compliant with 7 different sets of technology design rules from 5 different foundries. Practical examples employed in industrial projects are illustrated
Content may be subject to copyright.
A SKILL
TM
-based Library for Retargetable Embedded Analog Cores
Xu Jingnan
1
João Vital
1,2
Nuno Horta
1
1
Instituto Superior Técnico
IST Centre for Microsystems
Av. Rovisco Pais, 1
1096 Lisboa Codex – PORTUGAL
2
ChipIdea - Microelectrónica, S.A.
TagusPark, Ed. Inovação IV, Sala 733
2780-920 Porto Salvo – PORTUGAL
Abstract
This paper describes the automatic generation and re-
usability of physical layouts of analog and mixed-signal blocks
based on high-functionality pCells that are fully independent of
technologies. The high-functionality pCell library presently
contains over 42 pCells and is fully compliant with 7 different
sets of technology design rules from 5 different foundries.
Practical examples employed in industrial projects are illus-
trated.
1. Introduction
In the past decades, efforts were dedicated to the de-
velopment of layout automation methodologies for ana-
log circuits. A tool, which can automatically generate
full-custom layouts for analog circuits was presented in
[1]. Various types of circuit compilers were also proposed
for fixed circuit topologies, such as the Continuous-Time
Filter compiler described in [2]. Besides these, basic tran-
sistor cell generators were also developed to increase the
productivity of layout design [3]. More recently, a meth-
odology to generate analog circuit stack was proposed,
based on the analysis of the circuit algorithm and consid-
ering the matching problems in analog circuits [4].
Despite these significant research efforts, full de-
ployment of analog CAD tools into professional envi-
ronments is still very limited. This significantly limits the
productivity that can be achieved by analog and mixed-
signal design teams, especially when compared with the
much higher levels of design automation typical of digital
design environments.
The first generic method of layout re-use/retargeting
presented here is based on layout parameterization fully
independent of technology. The second method is based
on retargeting an original template, and is currently lim-
ited to very simple operations.
2. Retargeting Methodology and Approaches
Several different steps can be identified in the process
of retargeting an analog or mixed-signal block, be it ei-
ther between different technologies or in the same tech-
nology but for different specifications. It is assumed here
that the topology of the circuit remains fixed and, there-
fore, the whole procedure begins by redefining the sizing
of the circuit to be compliant with new technology and/or
specifications. Once this is achieved, the necessary layout
modifications are implemented and the whole new data-
base is re-simulated for final validation and eventual fine-
tuning. The work presented in this paper is focused on the
automation of the layout retargeting, and is a part of a
Project for automation of the full retargeting process.
A true retargeting mechanism should have the possi-
bility to perform only the necessary changes on an origi-
nal layout database, without requiring any special prepa-
ration of this layout, and deliver the retargeted layout
DRC and LVS error-free. However, it is not hard to un-
derstand that such ideal approach is extremely complex to
implement. It must resort to component extraction capa-
bilities and LVS mechanisms to identify what are the
layout components to be modified, and then implement
the changes with no disruption of connectivity.
While the techniques for such a beautiful approach are
being developed, simpler alternative techniques can lead
to relevant improvements on productivity. The most
common solution is the development of module genera-
tors, which can be either template-based or performance
driven. However, the concept of retargeting is more dif-
fuse in these cases, since what happens is truly a com-
plete automated redesign of the layout. The concept we
used in this work is slightly different, because it is based
on the hierarchical development of a library of param-
eterized layout cells (pCells) and auxiliary functions
which are used to describe layouts from the very simple
polygon layer shape up to large cells with high-level of
complexity. The layout retargeting is implemented then
by changing parameters according to different circuit
sizing and by attaching different technology files to the
pCells. A second method, described later on in this paper,
performs a number of simple operations over an original
database to make it compliant with new DRC rules.
3. Technology Independence
The key for technology independence is the correct
definition of a minimum set of generic DRC parameters
that allow the description of all layout constraints im-
posed by a large number of different technologies. A
simple example of such parameters for the basic MOS
device is shown in Fig. 1. Care must be taken on the defi-
nition of the basic devices to cover all the particularities
specific to each foundry. This means that the logical con-
structions of the basic devices must be accompanied by a
number of possible variants with generic layers, which
can then be either mapped into dummy layers or into spe-
cific layers of the technology.
ENC_M1CT WID_CT
ENC_NPDF
SEP_P1CT
ENC_DFCT
Fig. 1: Example of parameters to define DRC constraints.
4. Parameterized Cells and Functions
This work is fully developed within Cadence Design
Framework (CDF) and uses SKILL language [5]. There
are many useful functions within the CDF, which allow
to access the database and to design pCells and graphical
interfaces.
Two different libraries were built to support the en-
visaged layout retargeting methodology. The library of
functions was developed to form a basis on the top of
which the pCells were programmed. It deals with such
basic problems as drawing polygon shapes according to
the technology constraints, getting dimensions of a speci-
fied layout structure, identifying pins in a database, rout-
ing nets between pins, etc. The library of pCells contains
the parameterized layouts hierarchically organized from
the simplest MOS, resistor and capacitor devices and
substrate/well bias geometries, to the more complex cells
such as operational amplifiers, bias generation circuits,
digital gates and complete DACs cells.
The development of the libraries and functions was
based on the definition of a technology file that contains
the parameters for DRC constraints of the technology, as
explained in the previous section. This file is customized
to support each specific technology, and is attached to the
cell whenever this cell is to be ported to the considered
technology. The high-functionality pCell library presently
contains over 42 pCells and is fully compliant with 7 dif-
ferent sets of technology design rules from 5 different
foundries.
5. Ultra pCells - Case Study
The demonstration vehicle of our project is a ultra
pCell for a complete IQDAC core to be used on an inter-
face for wireless applications. The current-steering DAC
block diagram is represented in Fig. 2. Two different in-
stantiations of the resulting pCell in different technolo-
gies are represented in Fig. 3. These results were obtained
with the same pCell and the same parameters, but at-
taching a different technology file to the cell. There are
73 input parameters in the DAC core pCell, which can
control the length and width of every transistor and can
also change the width of some power supply lines, such
as vdd, gnd and outputs, etc. In order to avoid unexpected
errors, it is given the freedom to the user to move some
cell blocks in x direction or y direction in order to acco-
modate large layout variations.
Fig. 2: Current-steering DAC block diagram.
FoundryX-0.35um
FoundryY-0.25um
Fig. 3: Instantiation of the DAC pCell in different technologies.
6. Layout Direct Retargeting Tool
The principle behind the Layout Direct Retargeting
Tool (LDRT) is to generate a new database that differs
from the original in the following aspects dependent on
the target technology: layer names; dimensions of con-
tacts and vias; adjustment to a different grid-size; multi-
plication by a scaling factor. These simple operations are
of great help when porting analog circuits between differ-
ent technologies with similar electrical characteristics,
and in non-critical digital circuitry. The tool has been
coded using SKILL and is fully integrated in CDF.
7. Conclusions
Two different methods for retargeting the layout of
analog and mixed signal circuits are presented. This first
is based on layout parameterization and covers a large
range of circuit complexity levels. The second method
processes an original database, which does not need to
have an initial preparation, to create a new database com-
pliant with a different set of DRC rules.
Acknowledgments
This research work is being supported by CEC under the
ESPRIT Project 29648 (RAPID).
References
[1] Stacy W. Mehranfar “A technology-independent approach to
custom analog cell generation, IEEE JSSC, vol. 26. No. 3,
pp. 386-393, March 1991.
[2] Ivan Riis Nielsen, “Analog Design Tools Using Cadence Skill – a
continuous-time filter compiler, NIADE workshop, Helsinki.
[3] Herve Mathias et al., FLAG: A flexible layout generator for
analog MOS transistors, IEEE JSSC, Vol. 33. No 6, pp. 896-
902, June 1998.
[4] Ravindranath Naiknaware et al., “Automated Hierarchical CMOS
analog circuit stack generation with intramodule connectivity and
matching considerations, IEEE JSSC, Vol. 34. No. 3, pp. 304-
317, March 1999.
[5] Timothy J. Barnes, "SKILL
TM
: A CAD system extension lan-
guage", 27
th
ACM/IEEE DAC, pp. 266-271, 1990.
... Former procedural approaches either do not consider advanced design rules [15,20,21] or report related issues [9]. In [22] an abstract placement graph is utilized which is created from the generator code at runtime. ...
... Such highly generic generators are suitable to reduce the design time due to improved reuse both for a particular design task as well as for another design project and other technologies (porting). According to our former work [12] and other approaches [10,20], we target a complex generator library-however, for a much wider range of technologies. ...
Conference Paper
Full-text available
Current design of analog integrated circuits is still a time-consuming manual process resulting in static analog blocks which can hardly be reused. In order to address this problem, a new framework to ease reuse-centric bottom-up design of analog integrated circuits is introduced. Our IIP Framework (IIP: Intelligent Intellectual Property) enables the development of highly technology-independent analog circuit generators applicable in multiple design environments. IIP Generators are parameterizable descriptions of each view of an analog block, i.e., layout, schematic, and symbol. They allow the adaptation of complex layouts within seconds to minutes in order to incorporate hardly estimable parasitics and further considerations into the design flow. Due to the abstract generator description, valid design data is created for very different technologies such as 28 nm and 180 nm bulk CMOS, 28 nm FD-SOI, and others. The design experiment shows that procedural generators can be an effective tool for the efficient design of analog integrated circuits.
... ALSYN [27] employs fast procedural algorithms that are controlled through a database structures and attributes. A high-functionality pCell library independent of technologies can be found in [16]. Due to the fast processing of basic cells, procedural-based layout generation was used during sizing task by Vancorenland et al. [34] and Ranjan et al. [29]. ...
... These methods are usually slow and not always produce optimal solutions in terms of area and performance. Ranjan [29] ALDAC [17] KOAN/ANAGRAM [8] ALADIN [36] LAYLA [19] Castro-Lopez [6] Zhang [37] Malavasi [25] Koda [18] Lin [21] ALG [35] Habal [13] Jingnan [16] Vancorenland [34] ALSYN [27] Legend: ...
Conference Paper
Full-text available
This paper describes an innovative analog IC layout generation tool, LAYGEN II, based on evolutionary computation techniques. The designer provides the high level layout guidelines through an abstract layout template. The template contains placement and routing constrains independently from technology, and can be used hierarchically in the definition of templates for complex circuits. LAYGEN II uses this expert knowledge to guide the evolutionary optimization kernels during the automatic layout generation in the target technology. The routing task of the proceeding can range from a template-based approach to a full automatic generation, if only connectivity is provided. The LAYGEN II tool is demonstrated for the layout generation of two typical analog circuit structures and the results validated by Calibre® design rule check tool.
... certain metal layer). One possibility to overcome this problem is to utilize completely self-made device generators as in [12] or [16] which are defined using the generic generator interface. However, this would result in problems of acceptance by designers, since such generators are not validated by the PDK provider. ...
Conference Paper
Full-text available
Designing analog and mixed-signal integrated circuits is still a matter of comprehensive manual tasks. Although a variety of optimization-based and procedural generator-based analog design automation approaches have been presented, they still lack a proper handling of so-called expert knowledge in an abstract way. We present a new method to capture expert knowledge by an abstract, generator-based analog circuit description. This approach moves detailed procedural circuit descriptions further towards a high-level description. Using the presented method, the circuit is defined by generic code which is converted to an abstract graph representation. The graph is subsequently used to apply technology-specific design rules and further constraints to ensure DRC-clean and robust layouts. As a result, a much wider set of advanced technology nodes can be targeted by the same parameterizable, procedural circuit description compared to previous approaches. Therefore, re-use of dedicated circuit blocks is improved which both eases utilization by designers and supports circuit optimization.
Thesis
Avec l’évolution des procédés technologiques d’intégration, le traitement numérique devient de plus en plus rapide tout en coûtant moins en surface et en consommation d’énergie. La diminution des dimensions est effectuée au détriment de la précision des blocs analogiques. L’idée est de bénéficier des performances offertes par les circuits numériques pour relâcher les spécifications des blocs analogiques et gagner ainsi globalement en surface et consommation. Or les concepteurs de circuits mixtes analogiques-numériques sont confrontés à une situation où ils doivent choisir entre un flot purement analogique et un flot purement numérique, chacun des deux ignorant l’autre. Cette thèse propose un flot de conception mixte du dessin des masques en unifiant le flot de conception numérique et analogique Dans une phase de placement, le concepteur est amené à décrire un placement relatif de son circuit sous la forme d’un script permettant à notre outil de générer un ensemble de placements valides. Par la suite, une phase de routage global détermine de manière grossière les chemins les plus courts permettant de joindre les connecteurs de chaque net. Ces chemins prennent en compte diverses contraintes du circuit telles que des obstacles ou des contraintes de symétrie. Une phase de routage détaillé vient ensuite compléter la construction et la résolution des problèmes de superposition des fils de routage. Notre flot de conception est appliqué à plusieurs circuits analogiques et mixtes de tailles différentes. Notre approche a pour objectif de donner du contrôle aux concepteurs tout au long de la conception du dessin des masques.
Article
This paper considers the design features of parameterized analog cells based on the matched matrix elements for the SOI technology. A technique for synthesizing such cells is developed. Some examples are presented of the program code for building parameterized analog cells synthesized based on the matched matrix elements.
Chapter
In the past few years, several tools for the automation of the analog integrated circuit (IC) cell and system layout design, with application on both new and reused designs have emerged. Yet, most of the layout design is still handmade, essentially because analog designers want to have total control over the different design options, and also, due to the fact that current fully automated generators of analog IC layouts produce solutions which are not yet competitive with the manually crafted ones. The state-of-the-art on analog layout automation that follows reveals that after many years of stagnation, electronic design automation (EDA) market is evolving, creating more efficient and complementary approaches to the existing tools. The chapter starts by addressing the placement problem in EDA, providing a brief overview of the most recent placement tools developed, followed by the presentation of the main references of automatic layout generation tools, and the recent advances in layout-aware analog synthesis approaches. Finally, the available commercial solutions for analog layout automation are outlined.
Chapter
In the past few years, several tools for the automation of analog integrated circuit layout (IC) design with application on both new and reused designs have emerged. This Chapter starts by addressing the placement problem in electronic design automation (EDA), providing a brief overview of the different available floorplan representations and most recent challenges presented to placement tools. In section “Routing”, an overview of existent routing algorithms is presented, with emphasis on electromigration-aware approaches and wiring symmetry considerations. In section “Complete Layout Generation Tools”, the main references of complete automatic layout generators are presented, and, in section “Closing the Gap between Electrical and Physical Design”, the analog circuit-sizing task is overviewed to present the most recent advances in automatic layout-aware circuit-sizing approaches. Finally, in section “Overview of the State-of-the-Art on Analog Layout Automation”, a global analysis of the recent literature is made as introduction for the implementation choices taken for the methodologies proposed in the automatic analog IC layout generation tool described in this book. This complete state-of-the-art on analog layout automation, reveals that after many years of stagnation, EDA ecosystem is evolving, creating more robust, efficient and complementary approaches to the existing tools.
Article
This paper describes an innovative design automation tool, LAYGEN II, for analog integrated circuit (IC) layout generation based on template descriptions and on evolutionary computation techniques. LAYGEN II was developed giving special emphasis to the reusability of expert knowledge and to the efficiency of retargeting operations. The designer specifies the sized circuit-level structure, the required technology and also, the layout template consisting of technology and specification independent high-level layout guidelines. For placement, the topological relations present in the template are extracted to a nonslicing B*-tree layout representation, and the tool automatically merges devices and improves the floorplan quality. For routing an optimization kernel consisting of a tailored version of the multiobjective multiconstraint evolutionary algorithm NSGA-II is used. The Router optimizes all nets simultaneously and uses a built-in engine to evaluate each of the layout solutions. The automatic layout generation is demonstrated here using the LAYGEN II tool for typical analog circuit structures, and the results in GDSII format were validated using the industrial grade verification tool Calibre®.
Article
Full-text available
This paper describes a flexible MOS transistor layout generator which draws optimal layouts whatever the W and L dimensions. The drawing methodology is based on the use of small elementary parts, called bricks, which are placed side by side inside a user-specified boundary. The generated transistors may allow diffusion merging along whichever sides the user wishes and may have a global rectilinear shape. The internal structure of these cells may also be chosen by the designer so that it is well suited to his application. Transistors developed using this generator have been tested, and have been used to build a simple operational amplifier.
Conference Paper
SKILL is a programming language that supports both command entry and procedural customization in the Opus design framework. The author examines the requirements that motivate the provision of a programming language available to the user and describes some of the technical characteristics of the language design and implementation. Experience with the language is described and a number of programming examples are presented
Article
An efficient automated layout for CMOS transistors in analog circuits is described. The matching requirements are used as the primary constraint on the analog layout; however, parasitic capacitances and area considerations are also included. A designer-chosen arbitrary circuit partition from the schematic can be used to generate the corresponding layout as an optimum stack of transistors with complete intramodule connectivity. The transistor stack generation is performed by representing the circuit with a diffusion graph and recursively fragmenting the graph until the base constructs are reached. For each of the modules, the port structures are also created. These port structures are considered as part of the module area and parasitic optimization procedure. With aspect-ratio-related constraints, the procedure allows optimal floorplanning. The results are demonstrated through several examples
Article
An efficient automated layout for CMOS transistors in analog circuits is described. The matching requirements are used as the primary constraint on the analog layout; however, parasitic capacitances and area considerations are also included. A designer-chosen arbitrary circuit partition from the schematic can be used to generate the corresponding layout as an optimum stack of transistors with complete intramodule connectivity. The transistor stack generation is performed by representing the circuit with a diffusion graph and recursively fragmenting the graph until the base constructs are reached. For each of the modules, the port structures are also created. These port structures are considered as part of the module area and parasitic optimization procedure. With aspect-ratio-related constraints, the procedure allows optimal floorplanning. The results are demonstrated through several examples.
Article
STAT (schematic to artwork transistor), a set of software tools designed to generate full-custom layouts of analog cells from arbitrary schematic topologies in any IC technology, is described. The system enables the circuit designer to annotate the schematic with component matching and symmetry relationships. Software subroutines are then used to generate device artwork. The placement program implements algorithms in which groups of related components are placed first so that annotated layout constraints are preserved. A novel placement method is offered which recognizes that analog schematic topologies often reflect desirable layout configurations. A flexible multilayer cell-level router has been developed to complete the device interconnection. The STAT system functions in either a polygon or symbolic layout environment. The symbolic layout allows design-rule and technology changes to be made easily and is designed to interface with a commercial compaction program to produce the final layout
Analog Desibm Tools Using Cadence Skill -a continuous-time filter compilerFLAG: A flexible layout generator for analog MOS transistors' Automated Hierarchical CMOS analog circuit stack generation with intramodule connectivity and matching considerations SKILLrM: A CAD system extension lan-guage
  • W Stacy
  • Mehranfar Niade Workshop
  • Helsinki
  • Mathias Hewe
Stacy W. Mehranfar " A technology-independent approach to custom analog cell generation': IEEE JSSC, vol. 26. No. 3, pp. 386-393, March 1991. Ivan Riis Nielsen, " Analog Desibm Tools Using Cadence Skill -a continuous-time filter compiler ", NIADE workshop, Helsinki. Hewe Mathias et al., 'FLAG: A flexible layout generator for analog MOS transistors'; IEEE JSSC, Vol. 33. No 6, pp, 896-902, June 1998. Ravindranath Naiknaware et al., " Automated Hierarchical CMOS analog circuit stack generation with intramodule connectivity and matching considerations ", IEEE JSSC, Vol. 34. No. 3, pp. 304-3 17, March 1999. Timothy J. Barnes, " SKILLrM: A CAD system extension lan-guage ", 27 " ACWIEEE DAC, pp. 266-271, 1990.
Analog Design Tools Using Cadence Skill -a continuous-time filter compiler
  • Ivan Riis Nielsen
Ivan Riis Nielsen, "Analog Design Tools Using Cadence Skill -a continuous-time filter compiler", NIADE workshop, Helsinki.