ArticlePDF Available

Effect of plasma interactions with low-films as a function of porosity, plasma chemistry, and temperature

Authors:

Abstract and Figures

Integration of new low-kappa interlayer dielectrics (ILD) with current damascene schemes is a continuing issue in the microelectronics industry. During integration of the ILD, processing steps such as plasma etching, resist strip, and chemical-mechanical planarization are known to chemically alter a layer of the dielectric. Here, porous organosilicate glass (OSG) ILD films, which-according to the 2004 edition of the International Technology Roadmap for Semiconductors-are projected for use in the 65 and 45 nm nodes, are investigated. spectroscopic ellipsometry, x-ray photoelectron spectroscopy, and Fourier transform infrared spectroscopy are used to characterize the modified layer of the ILD after exposure to 0(2) or H-2 resist strip plasmas. The,effects of the two types of plasma etch chemistries on the formation of the modified layer were founds to differ significantly. These effects include both the degree of modification (i.e., chemical composition) and depth of the modified layer. A key difference between the 0(2) and H-2 plasmas is that silicon hydride groups are present in the modified layer after exposure to H-2 plasma but not after exposure to the, 0(2) plasma. In addition, the influence of OSG porosity on the etch rate and modified layer thickness was investigated for porosities ranging from 0-45 %. As expected, the etch rate was found to increase rapidly with porosity. Finally, 'conditions including reactive gas concentrations and substrate temperature for the H-2 plasma were varied. These parameters produced considerable changes in the chemistry of the modified layer, especially in the amount of hydrogen incorporated into the film. Details of these results will be discussed in the context of the mechanism by which modification and etching occurs as well as which process variables dominate those phenomena. (c) 2005.
Content may be subject to copyright.
Effect of plasma interactions with low- κ films as a function of porosity, plasma
chemistry, and temperature
Marcus A. Worsley, Stacey F. Bent, Stephen M. Gates, Nicholas C. M. Fuller, Willi Volksen, Michelle Steen, and
Timothy Dalton
Citation: Journal of Vacuum Science & Technology B 23, 395 (2005); doi: 10.1116/1.1861038
View online: http://dx.doi.org/10.1116/1.1861038
View Table of Contents: http://scitation.aip.org/content/avs/journal/jvstb/23/2?ver=pdfcov
Published by the AVS: Science & Technology of Materials, Interfaces, and Processing
Articles you may be interested in
The effect of PECVD plasma decomposition on the wettability and dielectric constant changes in silicon modified
DLC films for potential MEMS and low stiction applications
AIP Advances 2, 032128 (2012); 10.1063/1.4742852
Dependences of Young’s modulus of porous silica low dielectric constant films on skeletal structure and porosity
J. Appl. Phys. 100, 123512 (2006); 10.1063/1.2401660
Etching of porous SiOCH materials in fluorocarbon-based plasmas
J. Vac. Sci. Technol. B 22, 2772 (2004); 10.1116/1.1815316
Mechanisms for deposition and etching in fluorosilane plasma processing of silicon
J. Vac. Sci. Technol. A 21, 1688 (2003); 10.1116/1.1595109
Surface modified silica mesoporous films as a low dielectric constant intermetal dielectric
J. Appl. Phys. 92, 3338 (2002); 10.1063/1.1499979
Redistribution subject to AVS license or copyright; see http://scitation.aip.org/termsconditions. Download to IP: 128.115.190.44 On: Tue, 21 Oct 2014 17:21:03
Effect of plasma interactions with low-
films as a function of porosity,
plasma chemistry, and temperature
Marcus A. Worsleyaand Stacey F. Bent
Department of Chemical Engineering, Stanford University, Stanford, California 94305-5025
Stephen M. Gates and Nicholas C. M. Fuller
T. J. Watson Research Center, IBM, Yorktown Heights, New York 10598
Willi Volksen
Almaden Research Center, IBM, San Jose, California 95120-6099
Michelle Steen and Timothy Dalton
T. J. Watson Research Center, IBM, Yorktown Heights, New York 10598
Received 20 August 2004; accepted 27 December 2004; published 9 March 2005
Integration of new low-
interlayer dielectrics ILDwith current damascene schemes is a
continuing issue in the microelectronics industry. During integration of the ILD, processing steps
such as plasma etching, resist strip, and chemical-mechanical planarization are known to chemically
alter a layer of the dielectric. Here, porous organosilicate glass OSGILD films, which—according
to the 2004 edition of the International Technology Roadmap for Semiconductors—are projected for
use in the 65 and 45 nm nodes, are investigated. spectroscopic ellipsometry, x-ray photoelectron
spectroscopy, and Fourier transform infrared spectroscopy are used to characterize the modified
layer of the ILD after exposure to O2or H2resist strip plasmas. The effects of the two types of
plasma etch chemistries on the formation of the modified layer were found to differ significantly.
These effects include both the degree of modification i.e., chemical compositionand depth of the
modified layer. A key difference between the O2and H2plasmas is that silicon hydride groups are
present in the modified layer after exposure to H2plasma but not after exposure to the O2plasma.
In addition, the influence of OSG porosity on the etch rate and modified layer thickness was
investigated for porosities ranging from 0–45 %. As expected, the etch rate was found to increase
rapidly with porosity. Finally, conditions including reactive gas concentrations and substrate
temperature for the H2plasma were varied. These parameters produced considerable changes in the
chemistry of the modified layer, especially in the amount of hydrogen incorporated into the film.
Details of these results will be discussed in the context of the mechanism by which modification and
etching occurs as well as which process variables dominate those phenomena. © 2005 American
Vacuum Society. DOI: 10.1116/1.1861038
I. INTRODUCTION
New materials are necessary to continue the existing trend
toward smaller feature sizes in future computer chips. In the
past, chip speed was limited by the size of a transistor, but in
the immediate future the limitation will be defined by the RC
delay in global interconnects.1–4 As a first step to reduce the
RC delay, many chip manufacturers have replaced aluminum
wiring with lower resistance copper. In an effort to reduce
the capacitance between lines, the next step the industry is
taking is to find materials with a lower dielectric constant
to replace silicon oxide as an interlayer dielectric ILD.
There are a wide variety of low-
materials5–10 being re-
searched, and many issues have arisen involving the integra-
tion of these materials.2–4,11–20 The current challenge has be-
come one of designing low-
materials with mechanical and
chemical properties such that they can be successfully used
in current integration schemes. These films must have suffi-
cient mechanical strength to withstand numerous physical
stresses and high enough chemical stability to remain unal-
tered by integration processes such as photoresist strip.
For the forthcoming 65 nm technology node, much of the
industry is focused on incorporating porosity into a Si–O
network to further reduce the
-value.2–4,11,21,22 A likely can-
didate for a low-
ILD is the so-called organosilicate glass
OSGor SiCOH films deposited by either chemical vapor
deposition or spin-on processes. Previous work has shown
that exposing films of this composition to O2plasma envi-
ronments can cause damage12–20 manifested as undesirable
chemical modifications.13,15,16,20 This result has been fairly
consistent across a range of OSG films.13,15,20 H2plasma
environments, however, have been shown to have a range of
effects. Some groups report that it has no effect on the film,14
others that it enhances the properties of the film,23,24 and yet
others indicate that H2plasmas do indeed cause damage.13
Therefore, the goal of this study is to characterize the depth
and degree of plasma damage on a blanket OSG film hori-
zontally exposed surfaceas a function of plasma chemistry
O2and H2, porosity, and substrate temperature. We then
aElectronic mail: worsleym@stanford.edu
395 395J. Vac. Sci. Technol. B 232, Mar/Apr 2005 0734-211X/2005/232/395/11/$19.00 ©2005 American Vacuum Society
Redistribution subject to AVS license or copyright; see http://scitation.aip.org/termsconditions. Download to IP: 128.115.190.44 On: Tue, 21 Oct 2014 17:21:03
discuss the probable mechanisms dominating the processes
altering the film.
II. EXPERIMENTAL DETAILS
The OSG samples used in this study were blanket films of
Dendriglass, a spin-on porous methyl silsesquioxane that has
been widely characterized.5,21,25–30 To prepare the 7000 Å
thick films, the Dendriglass solution was spun onto 8 in. Si
wafers at 3000 rpm, hot plate baked at 75 °C, and furnace
cured at 450 °C. Porogen loadings of 0%, 10%, and 40%
were used and corresponding values of
were 2.86, 2.59,
and 1.86, respectively. The dielectric constant,
was mea-
sured using evaporated aluminum dots in metal-insulation-
semiconductor structure. Values of volumetric porosity as
measured by spectroscopic ellipsometry SEwere 0%, 8%,
and 45% respectively. These porosities were chosen because
they sampled three distinct categories of films: dense 0%,
closed porosity 8%, and open porosity 45%. Closed po-
rosity refers to a pore morphology in which the pores are
isolated and separate from one another. Open porosity refers
to a pore morphology with significant interconnectivity be-
tween pores. The samples were then exposed to resist strips
composed of Ar/O2and Ar/H2.31 The time of each of these
exposures was calibrated to replicate conditions in which
4000 Å of photoresist would be removed. Thus, time of ex-
posure ranged from 41 s in an 88% O2plasma to 690 s in a
55% H2plasma. In this way, the exposures were normalized
based on resist strip rate. The different resist strip processes
were carried out in a commercial dual frequency capacitive
DFCetch tool and are identified by the species in the
plasma.
Discharge parameters for the two plasma chemistries are
shown in Table I. The higher pressures, gas flow, and el-
evated powers in the Ar/H2plasma were chosen to achieve
appreciable resist strip rates. Also, in order to sustain a stable
plasma with 91% H2flow, a chamber pressure of 500 mTorr
instead of 120 mTorr used at lower H2flowswas required.
When investigating the effect of porosity and plasma chem-
istry, the highest O2flow of 88% and H2flow of 91% were
used. For all experiments the substrate temperature was held
at 20 °C except when temperature was the focus of the study;
then it was taken as high as 77 °C tool limit. In addition,
when the substrate temperature was varied, the 91% H2flow
condition was used and all other variables, including time,
were held constant i.e., no normalization.
The elevated power settings for the hydrogen plasmas
should not adversely affect the study. Preliminary work32
not shownon a DFC tool distinct from the one used in this
study suggest that all these plasmas are weakly ionized
109–1010 cm−3with a sublinear increase with source rf
power so the increased power would provide a relatively
small increase in ion flux. There would, however, be some
marginal difference in the associated ion energy given that
the bias rf power settings for the oxygen and hydrogen based
processes are 0 and 50 W, respectively.The net ion current to
the wafer 共⬃source power xbias powershould only be
marginally affected and as such physical etching of the film
should not be significantly affected by these power setting
differences between the two plasmas. The results also indi-
cate that at the same source power the degree of dissociation
for hydrogen is substantially lower than that for oxygen. It
implies that at the elevated power the radical density of hy-
drogen is probably still less than or equal to that of oxygen.
Therefore the evaluation of chemical etching and modifica-
tion is also not significantly hindered.
To characterize the modified layer, several ex situ tech-
niques were employed. Refractive index RIat 633 nm and
thickness before and after plasma exposure were determined
by spectroscopic ellipsometry SE. Data was collected over
a range of 300–1000 nm at two angles of incidence 65° and
75°on a J.A. Woolam Variable Angle Spectroscopic Ellip-
sometry instrument and analyzed using WVASE32 software.
Cauchy models with wavelength-dependent optical constants
were used to simulate both the as-deposited and modified
OSG layers. Further details of the modeling are described in
previous work.13 Physical measurements of thickness were
also performed using a KLA-TencorAlpha Step P-11 surface
profiler to confirm the accuracy of the thickness measure-
ments determined by SE. The thickness measurements al-
lowed the extraction of etch depth, modified layer depth, etch
rate, and modified layer growth rate Fig. 1a兲兴 to define the
depth of plasma damage. Thus plasma damage could be
quantified in terms of the material removed etch damage
and material modified modified layer. The composition of
the samples was measured by x-ray photoelectron spectros-
copy XPSand Fourier transform infrared FTIRspectros-
copy. XPS spectra were obtained on a Surface Science In-
struments SSIS-Probe Monochromatized XPS
Spectrometer using Al K
radiation. FTIR spectra were
measured by a Mattson spectrometer in transmission mode.
TABLE I. Discharge parameter.
Parameter Oxygen plasma Hydrogen plasma Argon plasma
Upper electrode rf300 W 27 MHz600 W 27 MHz300 W 27 MHz
Lower electrode rf0W2 MHz50W2 MHz0W2 MHz
Total gas flow 400 sccm 550 sccm 400 sccm
Chamber pressure 100 mT 120 mT 500 mT 100 mT
%O212305070880000 0 0
%H20000055596477 91 0
%Ar 88 70 50 30 12 45 41 36 23 9 100
Calibrated exposure time s66 52 46 42 41 560 690 536 507 329 66
396 Worsley
et al.
: Effect of plasma interactions with low-
films 396
J. Vac. Sci. Technol. B, Vol. 23, No. 2, Mar/Apr 2005
Redistribution subject to AVS license or copyright; see http://scitation.aip.org/termsconditions. Download to IP: 128.115.190.44 On: Tue, 21 Oct 2014 17:21:03
RI and composition were used to define the degree of plasma
damage.
III. RESULTS AND DISCUSSION
Etching of the OSG film during exposure to a resist strip
plasma proceeds similarly to that of any other film in a
plasma ambient. A dynamic equilibrium condition is set up
between the formation of volatile adsorbates due to the pres-
ence of reactive sites and chemical reactivity of active
etchant species with such sites film modification or chemi-
sorptionand ion induced desorption of these volatile adsor-
bates from the film surface etching or desorption. The latter
effect is dependent on the ion current incident on the film
surface. In the present study, it is assumed that the dominant
active etchant species are O and H radicals and the dominant
ion for inducing desorption is Ar+. It is also assumed that
both atomic and molecular species diffuse into the film.
Thus, both the modification and etching rates are functions
of film porosity and plasma conditions. The first section ad-
dresses the effect of porosity. The following two sections
will examine the effect of changing certain plasma condi-
tions.
A. Porosity
The effect of porosity on the film’s response to the plasma
treatment is observed in four measurements: the depth of the
modified layer remaining after exposure, the modified layer
growth rate, the etch depth, and the etch rate. In addition, the
sum of etch depth and modified depth, designated “total
depth of damage,” is also a useful metric. The modified layer
depth and etch depth after Ar/O2and Ar/H2plasma expo-
sure as a function of porosity are shown in Fig. 1. As ex-
pected, increasing porosity increased the total depth of dam-
age. For the Ar/O2plasma, the introduction of porosity
reduced the modified layer remaining while changing the
porosity did not significantly affect the modified layer re-
maining for the Ar/H2plasma. However, for both films it
appears that the key contributor to the increased total depth
of damage with porosity is a rapidly increasing etch depth.
As these films were exposed to the same conditions, this
result would suggest a rapid increase in etch rate with poros-
ity. Figure 2, a plot of the etch rate as a function of porosity
for both plasmas, supports this claim. The etch rate was de-
termined by dividing the etched thickness by the exposure
time and hence represents an average etch rate. Figure 2
shows that increasing the porosity increases the etch rate for
both plasmas. In fact, the factor by which the etch rate is
enhanced with increasing porosity for both plasmas is the
same. The etch rate is increased by a factor of about 2.5 and
7 in the 8% and 45% porosity film, respectively, over the
dense film.
The data above indicate that porosity has a large affect on
the total depth of damage sustained by the film. Looking
strictly at the mechanical properties of porous films versus
dense films, and considering the physical sputtering element
of etching, an increase in etch rate is expected. Adding po-
rosity to these films fundamentally weakens their structure
e.g., elastic modulus, hardness3making them easier to
physically sputter. Thus, at least a portion of the increased
etch rate can be attributed to a mechanically weaker film at
higher porosities. The increased etch rate is also due in part
to the fact that there is less material per volume to etch in the
porous films. To account for this, calculations were per-
formed to normalize the etch rate by removing the difference
in material per volume caused by the porosity. However,
normalization based on volume of material etched did not
eliminate the general trend of etch rate increasing with po-
rosity. Therefore additional factors must be considered.
There are several other causes that likely contribute to the
increased etch rate at increased porosity. One is the increased
FIG.1.aSchematic of damage effects. Dendriglass etch depth and modi-
fied layer depth after bAr/ O2and cAr/ H2plasma as a function of
porosity.
FIG. 2. Dendriglass etch rate in plasma as a function of porosity.
397 Worsley
et al.
: Effect of plasma interactions with low-
films 397
JVSTB-MicroelectronicsandNanometer Structures
Redistribution subject to AVS license or copyright; see http://scitation.aip.org/termsconditions. Download to IP: 128.115.190.44 On: Tue, 21 Oct 2014 17:21:03
surface area and access to reactive sites found in porous me-
dia. In the dense film, the sites exposed to etching and, to a
lesser extent, modification, are largely limited to the top sur-
face, since ion bombardment primarily occurs at the surface
and the diffusion into the film is relatively slow. However in
a porous film, the exposed surface area is many times greater
allowing modification and etching to occur on pore walls in
addition to the top surface. Increased porosity allows ions to
impact deeper beneath the film surface which likely en-
hances both the modification and etching processes. In addi-
tion, higher concentrations of reactive species from the
plasma can more easily diffuse into the film, due to the po-
rosity, increasing the rate of modification and etching.
These data also allow for some conclusions to be drawn
with respect to open and closed porosity. Recall that the cho-
sen porosities sample three distinct categories of films: dense
0%, closed porosity 8%, and open porosity 45%.25 Fig-
ure 1bshows that for the Ar/O2plasma, the total depth of
damage is approximately equal for the 0% and 8% porous
films and only increased with the 45% porous film. This
suggests that the open porosity found in the 45% porous film
may play a key role in facilitating the diffusion of oxygen
species into the film as the total depth of damage only in-
creased in the open porosity sample. However, Figure 1c
suggests the hydrogen species are not limited by pore con-
nectivity as the total depth of damage steadily increased with
each porosity increase, and was not correlated with closed or
open porosity. Therefore, the depth of damage caused by the
H2plasma was more likely due to the decreased density of
the film rather than pore connectivity.
Another interesting result is that, for the Ar/O2plasma
Fig. 1b兲兴, the etch rate is enhanced relative to the modifi-
cation rate with the introduction of porosity. We speculate
that this may be the combined effect of the limited oxygen
species diffusivity and the increased surface area. Whereas
the modification, due to diffusion of reactive species, can
occur at both surface and subsurface sites, etching is princi-
pally limited to the surface regions which are exposed to ion
bombardment. A closed porosity film, due to increased sur-
face roughness, would represent a significant increase in sur-
face area exposed to ion bombardment at the top surface.
However, if the closed pore structure significantly inhibited
diffusion for the oxygen species, as suggested earlier, a com-
parable increase in modification rate would not be observed.
Therefore, the etch front would progress further into the
modified layer decreasing the modified thickness. Then with
the open porosity film, comparable increases in etch and
modification rate are seen resulting in no change in the modi-
fied layer thickness.
In general, these data suggest that introducing porosity
makes the film more susceptible to modification and etching.
This is consistent with previous reports on other porous OSG
films14,16 and highlights one of the challenges of ultra low-
integration.
B. Plasma chemistry
In the previous section, the effect of plasma chemistry
was explored by investigating the different ways in which
Ar/O2plasmas and Ar/H2plasmas interacted with films of
different pore structures. In this section, additional effects of
plasma chemistry will be presented and discussed. Results on
the depth of damage and the degree of damage will be
treated separately.
1. Depth of damage
The results shown in Figs. 1 and 2 can be used to compare
the effect of different plasma chemistries on the film. Figure
1 shows that the Ar/H2plasma leaves a modified layer 1.5–2
times as deep as the Ar/O2plasma, independent of porosity.
We consider several observations in explaining the difference
in the modified layer depth. First, as mentioned in the experi-
mental section, the Ar/H2plasma exposure times were
longer than those for the Ar/O2plasma. This longer expo-
sure was set in order to equalize the photoresist strip times.
However, if the OSG etch rate for the Ar/H2plasma is not
comparably lower than that of the Ar/O2plasma, the modi-
fied and etched depths will differ. The increased time would
allow any reactive species in the Ar/H2plasma to diffuse
further, pushing the modification front deeper into the film.
In addition, hydrogen, being a smaller species than oxygen,
will have a higher diffusivity, and can thus react with and
modify material farther ahead of the etch front. Finally, if the
ion-induced etch process is slower in the Ar/H2plasma than
in the Ar/O2plasma, thicker modified layers will result un-
der steady state conditions.
Figure 2 shows that the Ar/O2plasma gives an etch rate
approximately three times as fast as the Ar/H2plasma across
the porosity range. Nagai et al.19 attributed the aggressive
etching of O atoms, relative to N atoms, on OSG films to
their efficiency in removing carbon atoms from the surface.
This statement suggests that the modification process is criti-
cal in determining the etch rate. Thus, a detailed investiga-
tion of the mechanisms involved in modification is necessary
to understand the differences in how Ar/H2plasmas and
Ar/O2plasmas interact with the OSG film.
As proposed by Chang et al.,15 modification of the OSG
in O2plasma occurs via the overall reaction
Si − CH3+4OSi − OH + CO2+H
2O, 1
where Si designates Si bonded to three lattice O’s. They
also proposed that some portion of the Si–OH would further
react via the reaction
2Si − OH Si−O−Si+H
2O. 2
Thus modification would evolve H2O and CO2. Note that the
only reaction that changes the structure is at the site of the
original SiCH3. The etching process is subsequently com-
pleted by physical sputtering of remaining products.
For the H2plasma we propose that the modification oc-
curs via the following reactions:
Si − CH3+2HSi−H+CH
4,3
398 Worsley
et al.
: Effect of plasma interactions with low-
films 398
J. Vac. Sci. Technol. B, Vol. 23, No. 2, Mar/Apr 2005
Redistribution subject to AVS license or copyright; see http://scitation.aip.org/termsconditions. Download to IP: 128.115.190.44 On: Tue, 21 Oct 2014 17:21:03
Si−O−Si+2HSi−H+ Si − OH, 4
Si − OH + 2H Si−H+H
2O. 5
Thus modification would evolve H2O and CH4and could
involve multiple reaction pathways. Note that, unlike for the
Ar/O2plasma, the hydrogen species can react at any of the
four silicon bonds, including Si–O, to change the structure.
This also means that a greater range of products are possible
i.e., Si–H, SiH2,Si–H3for the case of hydrogen than for
oxygen Si–OH. Again, physical sputtering of remaining
products would complete the etching process.
Differences in the thermodynamic driving force of these
reactions may influence the modification rate, and thus the
etch rate. Ranking reactions 1–5 in terms of Hrcalculated
at 298 Kputs reaction 1 first at −994 kJ/mol, then reactions
3, 4, and 5 at −411,−325, and −325 kJ/mol, respectively.
Reaction 2 is thermoneutral Hr=0 kJ/molsuggesting it
may not be a key reaction. This reveals that the thermody-
namic driving force for modification by O atoms is more
than twice as great as that for H atoms. Although thermody-
namics does not dictate kinetics, it could contribute to the
difference in etch rates and modification ratesexhibited by
the O2and H2plasmas.
2. Degree of damage: Film composition
An in-depth analysis of the composition of the modified
layer will give insight into modification reaction products
and allow us to confirm reactions 1–5. Figure 3 shows XPS
analysis comparing the effect of different plasma chemistries
on the modified layer in the 8% porous film. Due to the
surface sensitivity of XPS, the information obtained is spe-
cific to the surface of the modified layer for the plasma-
exposed samples. High resolution XPS spectra of the as-
deposited film and modified layers after Ar, Ar/H2, and
Ar/O2plasma exposure were analyzed.
TheC1speak not shownindicated atomic carbon con-
tent of 19%, 10%, 5%, and 2% for the as-deposited film, Ar,
Ar/H2, and Ar/O2exposed films, respectively. The drastic
reduction of carbon content seen with Ar/O2plasma is con-
sistent with previous reports of O2plasmas interacting with
OSG films. Ryan et al.20 showed that an oxygen ash could
remove as much as 100% of the Si–C bonds in an OSG film.
Liu et al.16 observed large decreases in Si–CH3and C–H
bonds in FTIR spectra after O2plasma ash. Therefore, the
observation that the O2plasma removes substantial carbon
content is consistent with literature.
These data also illustrate how the other plasma chemis-
tries reduce the carbon content to varying extents, with Ar
extracting the least carbon and Ar/O2extracting the most.
This is likely related to the modification mechanism, as men-
tioned above. Oxygen is more efficient at removing carbon-
aceous species than is hydrogen.14,23 Ar is the least efficient
as it etches by simple physical sputtering. In general, carbon
is depleted disproportionately because the Si–C bond is
the weakest bond in the OSG structure. The average
bond enthalpy for SiC451 kJ/molis less than
H–CH2462 kJ/moland substantially less than
SiO800 kJ/mol.33 Another factor that may influence the
difference between the Ar/H2and Ar/O2plasma is the de-
sorption of products formed by these plasmas. Since COxis
more volatile than CH4it is possible that the difference in the
carbon content left behind by the O2and H2plasma is also a
function of the desorption product volatility. This idea will
be further investigated when discussing substrate tempera-
ture.
The significant reduction of carbon in the surface region,
as indicated by XPS, also suggests that reactions 1 and 3
play an especially prominent role in the modification pro-
cess. The reason for this is twofold: the faster reaction rates
and the higher concentrations of reactive sites in the film
associated with these two reactions. First, as mentioned
above, the Si–C bond is the weakest bond in the OSG struc-
ture, thus facilitating removal of the methyl groups. Second,
reactions 1 and 3 are favored because the majority of the
OSG surface is methyl-group terminated. In previous work,34
water contact angle studies were conducted on OSG films
before and after plasma treatments. The data showed that the
pristine OSG surface was hydrophobic methyl-terminated
and only became hydrophilic after removal of the C content
by plasma treatment. In addition, it is proposed that the sur-
face of the pores also contain substantial carbon content.
This conclusion is based on low moisture uptake of highly
porous OSG films suggesting the pore surfaces are hydro-
FIG.3. aXPS spectra at four different plasma conditions of the Si 2ppeak.
x” designates the ratio of O to Si. bSchematic of how OSG film is altered
by plasma.
399 Worsley
et al.
: Effect of plasma interactions with low-
films 399
JVSTB-MicroelectronicsandNanometer Structures
Redistribution subject to AVS license or copyright; see http://scitation.aip.org/termsconditions. Download to IP: 128.115.190.44 On: Tue, 21 Oct 2014 17:21:03
phobic as well.35 As these surfaces represent a large portion
of the reactive sites for modification, reactions 1 and 3 and/or
permutations thereof should be dominant.
In Fig. 3a, the Si 2ppeak obtained after four different
plasma conditions is presented, showing how the Si bonding
is altered depending on the plasma used. The ratio of oxygen
to silicon xin the film is also shown as a function of
plasma chemistry. The ratio of hydrogen to silicon could not
be measured since hydrogen is not detected by XPS. The
Ar/O2plasma appears to enrich the film in oxygen compared
to the as-deposited sample. As shown in the figure, xin-
creases from 1.3 to 2 indicating that the modified layer is
very oxidelike i.e., SiO2stoichiometry. The observation of
the modified layer consisting chiefly of SiO2supports the
proposed reactions reactions 1 and 2as the process by
which modification occurs. Based on the stoichiometry, the
Si 2ppeak energy would be expected to shift 1.1 eV between
the as-deposited film SiO1.3and the Ar/O2treated film
SiO2.36 However, because the amount of charging varied
between samples, precise comparison of peak binding ener-
gies between spectra is difficult.
Both the Ar/H2and Ar plasmas introduce the Si to sub-
stantially different environments as evidenced by the features
in the spectra in Fig. 3b. The lower-energy shoulder visible
after the Ar plasma exposure is shifted −2.5 eV from the
SiO1.3 peak. In the literature36 it is shown that SiO1.35 should
be shifted +2.0 eV from SiO0.5 and +2.9 eV from pure Si–Si
bonding. This suggests that the shoulder be attributed to SiOx
where x0.3. This assignment is supported by XPS results
showing a 13% decrease in oxygen content for the modified
layer not shown. Also, as no reactive species are being
supplied in the Ar plasma, it is assumed that the dangling
bond left by the broken Si–C bond, in the absence of other
reactive species, may be satisfied with an adjacent Si or O
atom giving the two peaks observed.
In the case of Ar/H2, a new peak shifted −3.5 eV from
the SiO1.3 peak appears. Oxygen content was reduced by
40% in this case. The 1 eV difference in binding energy
between the new peak and that created by the Ar plasma
SiO0.3peak, indicates bonding to a species less electrone-
gative than either O and Si. Therefore we attribute this peak
to SiHxspecies, with x=2–3,present in the modified layer.
This assignment is consistent with the modification reactions
proposed earlier. A schematic suggesting the film’s structure
after plasma treatment is shown in Fig. 3b. It shows that the
Ar/O2plasma creates an oxidelike modified layer and the
Ar/H2plasma incorporates hydrogen into the film while re-
moving carbon less aggressively.
In Fig. 4 an XPS sputter depth profile of Dendriglass
taken after Ar/H2plasma exposure is shown. In this plot, the
peak attributed to silicon hydride relative to the SiO1.3 peak
is shown to drop exponentially with depth and to asymptoti-
cally approach a minimum value in the bulk film as indi-
cated by the dotted line at 450 Å. These data suggest that
there is a gradient of damage in the modified layer with the
most severe damage at the surface. The data also suggest that
the hydrogen species have penetrated and modified even the
bulk film to some degree, as seen in the nonzero value at
larger sputter depths. This result is in contrast to the step-like
profiles seen by some groups for O2plasmas14,37 where the
bulk film is not modified at all. In those results, the step
profile is attributed to densification of the oxidized layer,
which slows diffusion and thus inhibits further modification
of the film. The depth to which hydrogen species modify the
film in the Ar/H2plasma may be attributed to their greater
diffusivity and lower reactivity relative to the oxygen spe-
cies. Diffusion of hydrogen species deep into the film is re-
quired for bulk modification. Additionally, the modification
reactions proposed would break up the film structurally as
opposed to densifying it, allowing further diffusion. This sce-
nario would produce a modification profile resembling the
concentration of the reactive species due to diffusion.
Results from FTIR studies of the plasma-treated film not
shownsupport the assignment of the new Ar/H2XPS peak
as SiHx. The FTIR transmission spectra of the 8% porous
film as-deposited, after Ar/O2plasma and after Ar/H2
plasma were analyzed. The as-deposited film, the film after
Ar/O2plasma, and the film after Ar plasma all show the
characteristic peaks associated with OSG materials: C–H
stretch 2978 cm−1,CH
3deformation 1275 cm−1,
O–Si–O stretch 1044 cm−1, and Si–C stretch 781 cm−1.
However, the film after Ar/H2plasma has some interesting
features: O–H stretch 3100 cm−1, Si–H stretch
2251 cm−1, Si–OH deformation 891 cm−1. These features
confirm the presence of SiHxin the modified layer after
Ar/H2plasma exposure. In addition it reveals the presence
of OH species in the film. Also of note for the Ar/H2treated
film is the decrease in absorbance for the peaks associated
with carbon 2978, 1275, and 781 cm−1.
Whereas changes were observed by FTIR in the OSG
samples exposed to the Ar/H2plasma, no significant
changes were seen for the Ar/O2-treated samples. It is likely
that the thickness of the modified layer relative to the bulk
film facilitated the observation of changes in the Ar/H2
plasma treated film while masking the changes in the Ar/O2
plasma treated film. The Ar/H2plasma produced a modified
layer consisting of about 6% of the total film. In addition, a
FIG. 4. XPS sputter depth profile of Dendriglass 8% porosityafter Ar/H2
plasma.
400 Worsley
et al.
: Effect of plasma interactions with low-
films 400
J. Vac. Sci. Technol. B, Vol. 23, No. 2, Mar/Apr 2005
Redistribution subject to AVS license or copyright; see http://scitation.aip.org/termsconditions. Download to IP: 128.115.190.44 On: Tue, 21 Oct 2014 17:21:03
small amount of modification has occurred in the bulk as
well. On the other hand, the Ar/O2plasma produced a modi-
fied layer less than half that of the Ar/H2plasma. Because
FTIR samples the entire film, it is likely that the changes
produced by the Ar/O2plasma were below our detectable
limits. Thus no conclusions were drawn from the Ar/O2
plasma treated film from FTIR studies.
Data presented in this section clearly show that there are
different mechanisms by which H2and O2plasmas modify
the film. These differences are likely responsible for the dis-
parities seen in the etch rate and modified layer after expo-
sure.
C. Reactive species concentration
The influence of concentration of the reactive species H
or Oon the depth and degree of modification was observed
by varying the fraction of H2or O2flow relative to total gas
flow. Figure 5 tracks the changes for Ar/O2and Figures 6
and 7 follow Ar/H2. Figures 5 and 6 highlight the depth of
damage by showing etch and modified layer growth rates.
The modified layer growth rate was determined by dividing
the modified layer depth remaining after etch by the expo-
sure time, and hence represents an average growth rate. Fig-
ure 7 focuses on the degree of damage caused to the OSG by
examining the refractive index RIand chemical composi-
tion of the modified layer formed by the Ar/H2plasma.
Plasma etching occurs via the combination of two mecha-
nisms: chemical adsorption of reactive species and physical
desorption sputteringof volatile adsorbates. In the case of
the plasmas investigated in this study, it is assumed that the
dominant reactive species, H or O radicals, are responsible
for chemical etching while primarily Ar+ions and
FIG. 5. Plots of Dendriglass aetch rate and bmodified layer growth rate
as a function of oxygen flow.
FIG. 6. Plots of Dendriglass aetch rate and bmodified layer growth rate
as a function of hydrogen flow.
FIG. 7. Dendriglass 8% porosity兲共arefractive index and XPS SiHx:SiOx
peak ratio, and bSi 2pXPS spectra.
401 Worsley
et al.
: Effect of plasma interactions with low-
films 401
JVSTB-MicroelectronicsandNanometer Structures
Redistribution subject to AVS license or copyright; see http://scitation.aip.org/termsconditions. Download to IP: 128.115.190.44 On: Tue, 21 Oct 2014 17:21:03
O+,O
2+,H
+, and H2+ions to a lesser extent38are respon-
sible for physical sputtering. For the Ar/O2plasma, Fig. 5a
shows that the highest etch rate is achieved with 88% O2
flow, i.e, only 12% Ar, in the chamber. This suggests that
only a small amount of Ar, and therefore physical sputtering,
is necessary for etching to occur and that chemical etching is
more important.
The reactive species concentration also gives insight into
how porosity affects the kinetics of these processes. In Figs.
5aand 5b, note that although the reactive species concen-
tration does appear to play a role in determining the etch rate
and modified layer growth rate, the porosity of the film de-
termines the significance of that role. For the etch rate Fig.
5a兲兴, the 0% porous film exhibits no dependence on O2flow
and the 8% and 45% porous films show clear dependence on
O2flow. Recalling the earlier discussion of the dependence
of etch rate on surface area, these data support the idea that
for the 0% porosity film, reactive sites are saturated at low
O2flows. Therefore increasing the O2flow would not in-
crease the etch rate. As porosity is increased to 8% and 45%,
the surface area and diffusivity of the reactive species also
increase. Increasing the surface area provides more reactive
sites so that saturation no longer occurs at low O2flows.
Thus, with the porous films, increasing the O2flow results in
an increase in etch rate.
In Fig. 5b, the modified layer growth rate showed a
similar trend. Again, porosity plays a key role in determining
the significance of changing the reactive species concentra-
tion. There is a general trend of decreasing modified layer
growth rates with increasing porosity regardless of O2flow.
The exception for this is the high O2flow on the 45% film
which will be discussed shortly. This general trend, however,
is most likely a consequence of the drastically increasing
etch rate with porosity Fig. 5a兲兴. The sharp increase in etch
rate with porosity almost tenfold from 0% to 45%means
the modified layer is consumed at an increasing rate. Thus,
the growth rate of a remaining modified layer decreases with
increasing porosity at steady state.
The porosity also appears to determine the kinetics of the
modification process as well. For the 0% and 8% porous
films, the growth rate was not significantly affected by O2
flow, while the 45% porous film showed a threefold increase
in growth rate with O2flow. Recall similar results in Fig.
1a, where the total depth of damage remained constant for
the 0% and 8% porosity films then increased significantly for
the 45% porosity film. As discussed earlier, the 45% porosity
film represents a significant increase in both reactive sites
surface areaand access to the subsurface region open po-
rosityover the lower porosity films. If either of these factors
limits the modification rate in the lower porosity films, the
45% porous film may provide a regime where these are no
longer limiting. Thus the modification rate can be dependent
on reactive species concentration. We propose that the pres-
ence of significant open porosity may be responsible for the
concentration dependent behavior for the O2plasma. This
result may be another clue as to how strongly interconnec-
tivity can affect the processing of ILD films.
The degree of damage sustained by the OSG in the Ar/O2
plasma not shownwas also monitored and found to be
independent of O2concentration. The refractive index RI
of the modified layer, though increased from that of the as-
deposited film 1.33, remains relatively unchanged 1.42–
1.43with increasing O2flow. This increase in RI is mostly
attributed to a loss of organic groups, though densification
may play a role as well. Also, the RI of the modified layer is
close to that of pure SiO21.47, the substance it closely
resembles. The Si 2pandC1sXPS spectra also exhibit no
peak shift with increasing O2flow, though the C 1sspectrum
shows significant carbon loss with any O2flow.
Figure 6 shows the depth of damage sustained for the
OSG in the Ar/H2plasma. Etch rate as a function of H2flow
for the Ar/H2plasma is shown in Fig. 6a. This plot reveals
a similar trend as in the Ar/O2plasma. Etch rate shows some
dependence on porosity fourfold increase from 0% to 45%
filmregardless of concentration, though not as significant as
with the Ar/O2plasma. The etch rate on porous films in-
creases with increasing H2flow and the etch rate on the
dense film 0%showed little to no change with H2flow.
This result is similar to that observed for the Ar/O2plasma.
The fact that Ar/H2and Ar/O2etching processes show simi-
lar trends suggests that similar processes i.e., ion-induced
desorption of adsorbatesare occurring though at signifi-
cantly different rates.
The modified layer growth rate as function of H2flow
Fig. 6b兲兴 is relatively unchanged for all three porosities
studied. This situation is analogous to the 0% and 8% porous
films in the Ar/O2plasma indicating that the presence of
open porosity at 45%does not as significantly affect the
film’s behavior in the Ar/H2plasma. Also of note is that all
three porosities collapse onto the same line indicating modi-
fied layer growth rate is independent of porosity. This result
is a direct function of the fact that modified layer depth is
independent of porosity Fig. 1b兲兴. Because the modification
rate tracks with the etch rate as porosity is increased, no
change in the modified layer depth or modified layer growth
ratewas observed.
Figure 7 shows the degree of damage sustained by the
OSG in the Ar/H2plasma. In Fig. 7a, the RI and ratio of
SiHx:Si–Oxdetermined by XPSas a function of H2flow
are plotted. Both of these values increase at higher H2flow.
The increase in RI is likely due to the increasing presence of
the silicon hydride species. According to Fukuda et al.39 the
Si–H bond, with a representative refractive value of 3.201, is
much more polar than the Si–O bond, which has a represen-
tative refractive value of 1.75. The Si–H bond is also more
polar than the Si–C bond.4Therefore, replacing Si–O or
Si–C bonds with Si–H bonds, as shown in reactions 3–5, to
any appreciable amount would increase the RI of the mate-
rial. The Si 2pXPS spectra Fig. 7b兲兴 shows the increase in
SiHx:Si–Oxclearly. The C 1sXPS spectra not shown
indicated carbon content also fell slightly with increasing H2
flow. The lower carbon content could also contribute to the
increased RI.
402 Worsley
et al.
: Effect of plasma interactions with low-
films 402
J. Vac. Sci. Technol. B, Vol. 23, No. 2, Mar/Apr 2005
Redistribution subject to AVS license or copyright; see http://scitation.aip.org/termsconditions. Download to IP: 128.115.190.44 On: Tue, 21 Oct 2014 17:21:03
These data highlight key differences in the way that dam-
age induced by H2and O2plasmas depends upon reactive
species concentration. Porosity played a dominant role in
how depth of damage was manifested.
D. Substrate temperature
Substrate temperature was also varied in the case of the
Ar/H2plasma to observe its effect on the modified layer in
the 8% porous film. Figure 8 is a plot of both etch rate and
modified layer thickness as a function of substrate tempera-
ture. Both etch rate and modified layer thickness are shown
to increase with temperature, though the modified layer
thickness increases twice as fast as the etch rate. The in-
crease in modified layer thickness may be attributed to in-
creased rates of modification as well as greater diffusivity on
the higher temperature substrate. As etch rate is limited by
the modification reactions, an increase in the rates of the
modification reactions would translate to an increased etch
rate. Thus it is suggested that increased reaction rates for
reactions 3–5 play a significant role in the greater etch rate at
elevated substrate temperatures. In addition, the increase in
etch rate with increasing temperature may be expected be-
cause desorption of the etch products would increase with
temperature.
XPS spectra and RI of the film after plasma exposure are
shown as a function of temperature in Fig. 9. In Fig. 9athe
Si 2pspectra show a decrease in the SiHxpeak with tem-
perature. The SiHx:Si–Oxratio is shown with RI as a func-
tion of temperature in Fig. 9b. Both the RI and the
SiHx:Si–Oxratio decrease with increasing temperature. In
addition, the carbon and oxygen content was measured by
XPS as function of temperature. It was found that carbon
content more than doubled in the modified film going from
20 °C to 77 °C though it is still at a level less than half the
amount present in the as-deposited film. Oxygen content
also showed a significant increase over this range. The in-
creased carbon and oxygen content also contributed to the
reduction in RI with increasing temperature. These data sug-
gest that the degree to which the film is modified is mini-
mized at elevated substrate temperatures.
Examination of the temperature data can be used to gain
insight into whether the desorption or the formation of
SiHxis the rate limiting step RLSin the modification of
the OSG film by the Ar/H2plasma. The experimental data
clearly show that SiHxcontent decreases and carbon and
oxygen content increases with increasing temperature. Reac-
tions 3–5 describe generation reactions for Si–H groups. The
lower presence of silicon hydrides could therefore be due to
a decreased rate of SiHxformation reactions 3–5.A
slower rate of SiHxformation with increasing temperature
negative apparent activation energycould be interpreted as
a lower sticking coefficient for hydrogen species at higher
temperatures. Alternatively, reactions 3–5 may not change
significantly with temperature, and the lower concentration
of Si–H may result instead from facile desorption of SiHx
after formation at higher temperatures. Such an effect may
occur due to increased vapor pressure at elevated substrate
temperatures, for example. However, the data do not support
this second possibility. Reaction 3 links the formation of
Si–H groups with removal of carbon in the form of CH3.
Because the carbon content increases with temperature while
Si–H decreases, these data suggest that the rate of reaction 3
is reduced with increasing temperature. Furthermore, reac-
tions 4–5 link the formation of Si–H groups with the re-
moval of oxygen in the form of H2O. Because the oxygen
content also increases with temperature while Si–H de-
creases, we conclude that the rates of reactions 4–5 are also
FIG. 8. Dendriglass 8% porosityetch rate and modified layer thickness as
a function of substrate temperature.
FIG. 9. Dendriglass 8% porosity兲共aSi 2pXPS spectra and brefractive
index and XPS SiHx:SiOxpeak ratio as a function of temperature.
403 Worsley
et al.
: Effect of plasma interactions with low-
films 403
JVSTB-MicroelectronicsandNanometer Structures
Redistribution subject to AVS license or copyright; see http://scitation.aip.org/termsconditions. Download to IP: 128.115.190.44 On: Tue, 21 Oct 2014 17:21:03
reduced with increasing temperature. Given these two obser-
vations, we speculate that the formation of SiHxis the RLS
in the modification process. The lower sticking coefficient of
hydrogen species at elevated temperatures would increase
their effective diffusivity, allowing diffusion farther into the
film. Though the modification is less severe etch rate shows
only moderate increases and SiHxcontent is decreased,itis
still detectable by our methods and occurs much deeper in
the film. Thus the apparent effect at elevated temperatures is
decreased chemisorption and increased diffusivity of hydro-
gen species in the OSG.
The temperature studies also may explain the variety of
results published by different researchers with H2
plasmas.13,14,23,24 As stated earlier, some groups report that
H2plasmas have no effect on the film,14 others that it en-
hances the properties of the film,23,24 and yet others indicate
that H2plasmas do indeed cause damage.13 From the results
of the present study one may conclude that at low tempera-
tures H2plasmas are damaging, and they become less so at
high temperatures. While it is likely that temperature is not
the only factor that may have been different in previous
work, its effect, as shown here, is significant.
Overall these data indicate that increasing the temperature
significantly reduces the degree to which the film is damaged
while simultaneously increasing the total depth of the dam-
aged layer. Formation of silicon hydride species appears to
play a dominant role in this process.
As a note, these temperature-dependent studies were all
performed under the same conditions, including strip time.
Though this does not change our results, some of the conclu-
sions would change depending on the photoresist strip rate’s
dependence on temperature. For example, if the strip rate
increased rapidly from 20–80 °C, then much shorter strip
times could be used resulting in shallower modified layers.
This would lead one to conclude that higher substrate tem-
peratures may decrease plasma damage in terms of both
depth and degree instead of just degree as we conclude. To
account for this, temperature effects on photoresist strip rates
should be addressed in future experiments.
IV. CONCLUSIONS
Through these experiments both the depth and degree of
plasma damage in OSG films were observed as a function of
porosity, plasma chemistry, reactive species concentration,
and substrate temperature. It was found that the depth of
plasma damage, which was characterized by etch depth,
modified layer depth, etch rate, and modified layer growth
rate was strongly influenced by porosity and plasma chemis-
try. Highly porous films in O2plasmas showed the highest
etch rate, dense films in O2plasmas had the highest modified
layer growth rates, while highly porous films in H2plasmas
had the deepest modified layers and etch depth. For H2plas-
mas, it was shown that even the bulk film exhibited nonzero
levels of modification.
The surface area or reactive sitesaccessible to the reac-
tive species and the diffusivity played key roles in determin-
ing how the plasmas interacted with films of different porosi-
ties. Pore interconnectivity played an important role with
regard to the O2plasma. Differences in etch rate and modi-
fied layer depths for the O2and H2plasmas were attributed
to the variations in the diffusivity and modification mecha-
nisms of the reactive species. Modification reactions for both
species were proposed and discussed in terms of the reaction
pathways and thermodynamics. Reactive species concentra-
tion data supported the claim that interconnectivity plays a
dominant role when dealing with the O2plasma and showed
how porosity in general dictated the magnitude of the etch
rate and its dependence on reactive species concentration.
In the case of both plasmas, the etch rate had an increas-
ing dependence on reactive species flow with porosity. The
fact that Ar/H2and Ar/O2plasmas show similar trends sug-
gested similar processes i.e., ion induced desorption of ad-
sorbatesare occurring though at significantly different rates.
The modified layer growth rate showed no dependence on
reactive species flow except in the case of the 45% porous
film in O2plasma in which it increased. This reinforced data
suggesting that an open porosity film is especially suscep-
tible to damage by the O2plasma, a result which is particu-
larly relevant for ultra low-
materials. The substrate tem-
perature data showed increases in both etch rate and
modified layer thickness with temperature.
The degree of plasma-induced damage, characterized by
changes in chemical composition and RI, was clearly depen-
dent on plasma chemistry. This was seen in the varying de-
grees of carbon depletion and changes in Si bonding domi-
nant in the modified layer. Ar/O2plasmas were the most
efficient at removing carbon, while Ar/H2plasmas intro-
duced silicon hydride species into the film. These data sup-
ported the modification reaction scheme proposed and sug-
gested that carbon abstraction by O and H species reactions
1 and 3 and/or permutations thereofplay dominant rolesin
the modification process. Reactive species concentration data
supported the claim that O species efficiently remove carbon
only small amounts were needed to significantly deplete the
film.
When focusing on the H2plasma chemistry, more changes
in the degree of damage were found as a function of reactive
species concentration and substrate temperature. It was ob-
served that increasing the H2concentration increased both
the amount of SiHxand the RI, while increasing the sub-
strate temperature reduced both. In addition, the increased
carbon and oxygen content and decreased SiHxcontent in
the modified layer at elevated temperatures suggest that for-
mation of SiHxis the rate limiting step in this dynamic
equilibrium process. This final result is useful as it helps
explain the differing results published concerning the effect
of H2plasmas on OSG films by highlighting the temperature
dependence of H2plasma-induced damage.
ACKNOWLEDGMENTS
The authors would like to thank Willi Volksen and Robert
Miller for supplying the Dendriglass solutions and for dis-
cussions concerning the film, Ed Sikorski for discussions in-
volving the plasma tool, and Stefanie Chiras and Maurice
404 Worsley
et al.
: Effect of plasma interactions with low-
films 404
J. Vac. Sci. Technol. B, Vol. 23, No. 2, Mar/Apr 2005
Redistribution subject to AVS license or copyright; see http://scitation.aip.org/termsconditions. Download to IP: 128.115.190.44 On: Tue, 21 Oct 2014 17:21:03
McGlashan-Powell for discussions involving XPS. This
work was partially supported by an IBM PhD Fellowship
M.A.W.. M.A.W. gratefully acknowledges the National
Science Foundation and General Electric for funding. One of
the authors is a Ford Fellow M.A.W..
1International Technology Roadmap for Semiconductors ITRS, Santa
Clara, CA, 2001.
2M. Morgen, E. T. Ryan, J. H. Zhao, C. Hu, T. Cho, and P. S. Ho, Annu.
Rev. Mater. Sci. 30,6452000.
3K. Maex, M. R. Baklanov, D. Shamiryan, F. Iacopi, S. H. Brongersma,
and Z. S. Yanoviskaya, J. Appl. Phys. 93, 8793 2003.
4D. Shamiryan, T. Abell, F. Iacopi, and K. Maex, Mater. Today 7,34
2004.
5J. L. Hedrick, R. D. Miller, C. J. Hawker, K. R. Carter, W. Volksen, D. Y.
Yoon, and M. Trollsas, Adv. Mater. Weinheim, Ger.10, 1049 1998.
6Alfred Grill, Stephen M. Gates, and V. V. Patel, U. S. Patent No. 6 312
793 6 November 2001.
7D. G. Shamiryan, M. R. Baklanov, S. Vanhaelemeersch, and K. Maex,
Electrochem. Solid-State Lett. 4,F32001.
8A. T. Kohl, R. Minma, R. Shick, L. Rhodes, Z. L. Wang, and P. A. Kohl,
Electrochem. Solid-State Lett. 2,771999.
9S. Yang, P. A. Mirau, C. S. Pai, O. Nalamasu, E. Reichmanis, J. C. Pai, Y.
S. Obeng, J. Seputro, E. K. Lin, H. J. Lee, J. Sun, and D. W. Gidley,
Chem. Mater. 14, 369 2002.
10A. Jain, S. Rogojevic, S. Ponoth, N. Agarwal, I. Matthew, W. N. Gill, P.
Persans, M Tomozawa, J. L. Plawsky, and E. Simonyi, Thin Solid Films
398-9,5132001.
11M. O’Neill, A. Lukas, R. Vrtis, J. Vincent, B. Peterson, M. Bitner, and E.
Karwacki, Semicond. Int. 25,932002.
12S. Ito, Y. Homma, E. Sasaki, S. Uchimara, and H. Morishima, J. Electro-
chem. Soc. 137,12121990
13M. Worsley, S. Bent, S. Gates, K. Kumar, T. Dalton, and J. Hedrick,
Mater. Res. Soc. Symp. Proc. 766, E3.29 2003
14D. Shamiryan, M. R. Baklanov, S. Vanhaelemeersch, and K. Maex, J.
Vac. Sci. Technol. B 20,19232002
15T. C. Chang, P. T. Liu, Y. S. Mor, T. M. Tsai, C. W. Chen, Y. J. Mei, F. M.
Pan, W. F. Wu, and S. M Sze, J. Vac. Sci. Technol. B 20, 1561 2002.
16P. T. Liu, T. C. Chang, Y. S. Mor, T. M. Tsai, C. J. Chu, F. M. Pan, and S.
M. Sze, Electrochem. Solid-State Lett. 5,G112002.
17P. T. Liu, T. C. Chang, H. Su, Y. S. Mor, Y. L. Yang, H. Chung, J. Hou,
and S. M. Sze, J. Electrochem. Soc. 148,F302001.
18P. T. Liu, T. C. Chang, S. M. Sze, F. M. Pan, Y. J. Mei, W. F. Wu, M. S.
Tsai, B. T. Dai, C. Y. Chang, F. Y. Shih, and H. D. Huang, Thin Solid
Films 332, 345 1998.
19H. Nagai, Y. Maeda, M. Hiramatsu, M. Hori, and T. Goto, Jpn. J. Appl.
Phys., Part 2 42, L326 2003.
20E. T. Ryan, J. Martin, K. Junker, J. Wetzel, D. W. Gidley, and J. Sun, J.
Mater. Res. 16, 3335 2001.
21J. Liu, D. Gan, C. Hu, M. Kiene, P. S. Ho, W. Volksen, and R. D. Miller,
Appl. Phys. Lett. 81, 4180 2002.
22A. M. Padovani, L. Rhodes, L. Riester, H. Lohman, B. Tsuie, J. Conner,
S. A. B. Allen, and P. A. Kohl, Electrochem. Solid-State Lett. 4,F25
2001.
23T. C. Chang, P. T. Liu, Y. J. Mei, Y. S. Mor, T. H. Perng, Y. L. Yang, and
S. M. Sze, J. Vac. Sci. Technol. B 17, 2325 1999.
24P. T. Liu, T. C. Chang, Y. S. Mor, and S. M. Sze, Jpn. J. Appl. Phys., Part
138, 3482 1999.
25J. A. Hedstrom, M. F. Toney, E. Huang, H. C. Kim, W. Volksen, T.
Magbitang, and R. D. Miller, Langmuir 20, 1535 2004.
26H. C. Kim, J. B. Wilds, W. D. Hinsberg, L. R. Johnson, W. Volksen, T.
Magbitang, V. Y. Lee, J. L. Hedrick, C. J. Hawker, R. D. Miller, and E.
Huang, Chem. Mater. 14, 4628 2002.
27Q. R. Huang, W. Volksen, E. Huang, M. Toney, C. W. Frank, and R. D.
Miller, Chem. Mater. 14,36762002.
28E. F. Connor, L. K. Sundberg, H. C. Kim, J. J. Cornelissen, T. Magbitang,
P. M. Rice, V. Y. Lee, C. J. Hawker, W. Volksen, J. L. Hedrick, and R. D.
Miller, Angew. Chem., Int. Ed. 42, 3785 2003.
29J. L. Hedrick, T. Magbitang, E. F. Connor, T. Glauser, W. Volksen, C. J.
Hawker, V. Y. Lee, and R. D. Miller, Chem.-Eur. J. 8, 3308 2002.
30E. Huang, M. F. Toney, W. Volksen, D. Mecerreyes, P. Brock, H. C. Kim,
C. J. Hawker, J. L. Hedrick, V. Y. Lee, T. Magbitang, and R. D. Miller,
Appl. Phys. Lett. 81, 2232 2002.
31N. Fuller, K. Kumar, and T. Dalton private communication.
32M. Worsley, S. Bent, N. C. M. Fuller, T. J. Dalton, J. Doyle, and M. B.
Rothwell unpublished.
33D. Lide, CRC Handbook of Chemistry and Physics CRC Press, Wash-
ington, 2003.
34M. Worsley, S. Bent, S. Gates, K. Kumar, T. Dalton, and J. Hedrick
unpublished.
35E. Huang private communication.
36R. Alfonsetti, L. Lozzi, M. Passacantando, P. Picozzi, and S. Santucci,
Appl. Surf. Sci. 70–71, 222 1993.
37J-H. Lee, N. Chopra, J. Ma, Y-C. Lu, T-F. Huang, R. Willecke, W-F. Yau,
D. Cheung, and E. Yieh, Mater. Res. Soc. Symp. Proc. 612, D.3.4.1
2000.
38 The interaction of these species is not purely physical.
39T. Fukuda, N. Aoi, and H. Matsunaga, Jpn. J. Appl. Phys., Part 2 41,
L309 2002.
405 Worsley
et al.
: Effect of plasma interactions with low-
films 405
JVSTB-MicroelectronicsandNanometer Structures
Redistribution subject to AVS license or copyright; see http://scitation.aip.org/termsconditions. Download to IP: 128.115.190.44 On: Tue, 21 Oct 2014 17:21:03
... Many of them reported severe modification of low-k films, some papers reported no damage and even improvement of low-k properties. Most of the references (more than 40 to the best of our knowledge) related to this subject can be found in the following publications [4][5][6][7][8]. If to summarize briefly the results of these studies, the reason of contradictive observations is mainly related to the difference in plasma systems used by the authors. ...
... Hydrogen atoms can also attack ≡Si-O-Si≡ bridging groups with producing oxygen-deficient centers, ≡Si-H and ≡Si-OH groups. The estimated reaction enthalpies of ≡Si-H and ≡Si-OH groups formation are ∆H r at 298 K are equal to −411 and −325 kJ mol −1 [4], and therefore the reactions are quite favorable. These reactions have been proven by FTIR and XPS analysis. ...
... The presented reaction scheme demonstrates formation of oxygen deficient centers. As already mentioned the various defects including oxygen deficient-centers can also be formed during interaction of O-Si-O matrix bonds with hydrogen atoms [4]. For instance, as has been shown, H atoms can break strained Si-O bonds in amorphous silicon dioxide (a-SiO 2 ) networks, resulting in a new defect consisting of a 3-coordinated Si atom with an unpaired electron facing a hydroxyl group, adding to the density of dangling bond defects [33]. ...
Article
Full-text available
Effects of hydrogen atoms and UV radiation (λ > 210 nm) on nanoporous organosilicate glass (OSG) low-k films are studied in the temperature range from 20°C to 300°C. The purpose of the study is to understand the mechanisms of low-k films modification that can happen during the cleaning from carbon containing residues formed from sacrificial porogen and accumulated during the air storage. It is shown that exposure of low-k films to hydrogen atoms at low temperature leads to slight modification of hydrocarbon bonds in hydrocarbon residues not bonded to Si. At high temperature (T≥300°C), the relative concentration of -CH x bonds changes in a complex way and depends on the amount and structure of the carbon-containing compounds. The general trend is relatively rapid decrease of –CH 2 bonds concentration, while the terminal –CH 3 groups are more stable. Temperature also initiates the reaction of hydrogen atoms with low-k with partial modification of low-k matrix breaking Si-O bonds. The destruction of Si-O and Si-CH 2 groups leads to the formation of oxygen-deficient centers, followed by the formation of Si-(CH3) 2 groups due to their interaction with methyl groups. At 300°C, the total number of Si-CH 3 + Si-(CH3) 2 groups starts to decrease indicating on partial removal of the methyl groups bonded to silicon. Besides with increasing temperature a slight modification of the structure of matrix under exposure to H atoms is also observed. UV radiation has almost no effect on these processes in the studied conditions. Thus, there exist the “optimal” conditions for H atom impact on OSG low-k films which allows improving film performance by removing porogen residue without damage.
... Studies on the effect of oxygen radicals were performed as a function of chamber pressure, gap height, and plasma power in the same ICP chamber. With an increase of the gap height from 0.7 mm to 1.4 mm, the film shrinkage and methyl depletion increases in agreement with power and pressure dependences reported by Nagai et al. and Worsley et al. 115,116 At positions close to the edge of the mask, inside the gap structure, more film modifications were observed than in non-protected areas outside the gap. These results indicate that ion bombardment reduces the plasma induced damage. ...
... Some authors report no effect in low-k films, while others show that plasma processes enhances the film properties, and yet others indicate severe damage. 116 These apparently contradictory results are due to the wide variety of plasma chambers used by different authors. Three main types of the chambers are used for photoresist ash; i.e., ICP, CCP, and downstream plasma (DSP) systems. ...
... This observation agrees well with the literature data, demonstrating no low-k damage in afterglow of H 2 , He=H 2 , and He/Ar plasmas. 116,157 However, certain additional activation (for instance, ion radiation and UV light) might make these reactions possible, explaining the difficulty to achieve damage-free processes in reactive ion etching (RIE) condition. For instance, Matshushita et al. 158 showed that the damage during the H 2 plasma treatment depends on the type of chamber used. ...
Article
review of low-k plasma etch
... O 2 plasma is widely used during the photoresist (PR) patterning process, and the presence of oxygen radicals can easily damage the low-k dielectric film's surface. To limit the PID from this process, H 2 can also be used as an alternative plasma gas to replace O 2 [63,64]. After the removal of the photoresist layer, an anti-reflective coating (ARC) material is filled in the gap between dielectric layers with the spin-on method to protect the silicon nitride layer. ...
Article
Full-text available
Since the application of silicon materials in electronic devices in the 1950s, microprocessors are continuously getting smaller, faster, smarter, and larger in data storage capacity. One important factor that makes progress possible is decreasing the dielectric constant of the insulating layer within the integrated circuit (IC). Nevertheless, the evolution of interlayer dielectrics (ILDs) is not driven by a single factor. At first, the objective was to reduce the dielectric constant (k). Reduction of the dielectric constant of a material can be accomplished by selecting chemical bonds with low polarizability and introducing porosity. Moving from silicon dioxide, silsesquioxane-based materials, and silica-based materials to porous silica materials, the industry has been able to reduce the ILDs’ dielectric constant from 4.5 to as low as 1.5. However, porous ILDs are mechanically weak, thermally unstable, and poorly compatible with other materials, which gives them the tendency to absorb chemicals, moisture, etc. All these features create many challenges for the integration of IC during the dual-damascene process, with plasma-induced damage (PID) being the most devastating one. Since the discovery of porous materials, the industry has shifted its focus from decreasing ILDs’ dielectric constant to overcoming these integration challenges. More supplementary precursors (such as Si-C-Si structured compounds), deposition processes (such as NH3 plasma treatment), and post porosity plasma protection treatment (P4) were invented to solve integration-related challenges. Herein, we present the evolution of interlayer dielectric materials driven by the following three aspects, classification of dielectric materials, deposition methods, and key issues encountered and solved during the integration phase. We aim to provide a brief overview of the development of low-k dielectric materials over the past few decades.
... The plasma damage of porous low-k dielectrics is a complex process involving both physical and chemical effects. Active radicals (e.g., F* and O*) [14][15][16] and VUV (vacuum ultraviolet) photons (λ < 200 nm), [17][18][19] which are generated in the etch and strip plasmas, penetrate deeply into the bulk of low-k dielectrics and react with the carbon-containing groups. The presence of nanosized pores enhances these processes. ...
Article
Surfactant-templated porous organosilicate glass low-k films have been deposited by using a tetraethoxysilane (TEOS) and methyltriethoxysilane (MTEOS) mixture with different ratios and Brij® 30 surfactant. The deposited films contain different concentrations of terminal methyl groups that are proportional to the MTEOS concentration. Increasing the methyl group concentration by changing the TEOS/MTEOS ratio decreases the open porosity, k-value, and Young's modulus and increases the mean pore radius, although the template concentration was kept constant. The plasma etch rate well correlates with the number of fluorine atoms penetrated into pores. Plasma damage by fluorine radicals depends on the carbon concentration in the films. It can be reduced by 60% when the carbon concentration in the films exceeds 10 at. % as measured by XPS (the films deposited with the TEOS/MTEOS ratio of 40/60). Damage to the dielectrics associated with exposure to vacuum ultraviolet photons is reduced by more than 70% for the same samples.
... 127,128 The introduction of highly interconnected porosity dramatically increased the difficulty of developing new metallization and patterning technologies that retain the pristine as-deposited k value for the low-k ILD material. [129][130][131] At the same time, existing metallization and patterning technologies had reached their limitations and required the introduction of new materials 132 and techniques. 133,134 For metallization, the width of the metal lines for < 22 nm technologies had decreased to the point that the high resistivity Ta/TaN (TNT) Cu barrier material now represented close to 50% of the metal line and caused the metal line resistivity to increase exponentially. ...
Article
Full-text available
High-dielectric constant (high-k) gate oxides and low-dielectric constant (low-k) interlayer dielectrics (ILD) have dominated the nanoelectronic materials research scene over the past two decades, but they have recently reached a state of maturity and perhaps the limits of their scaling. Based on this, there is a need for a systematic review summarizing not only the historic research and achievements on high-k and low-k dielectrics, but also emerging device applications as well as an outlook of future challenges. We begin by first reviewing the factors that drove the emergence of low-k and high-k materials in nanoelectronics as ILD and gate dielectric materials, respectively, and the challenges and limits these materials ultimately approached in terms of permittivity scaling. We then illustrate that gate dielectric and ILD applications represent just a small fraction of the numerous dielectrics utilized in present day nanoelectronic products where permittivity scaling is now being increasingly demanded for materials such as dielectric spacers, trench isolation, and etch stopping layers.We conclude by examining the numerous new applications for dielectric materials that are emerging as the semiconductor industry transitions to novel patterning schemes, prepares for life post CMOS scaling, and explores ways to natively embed device functionality in themetal interconnect. For the former, we specifically examine the “colorful” requirements for the various enabling dielectric hardmask and spacer materials utilized in pitch division-multi-pattern processes and then discuss the role that selective area deposition of dielectrics and metals could play in reducing the complexity of such patterning processes. For the latter, we review the use of both high-k and low-k dielectrics in various metal-insulator-metal (MIM) structures as Fermi level de-pinning layers, tunnel diodes, and back-end-of-line (BEOL) compatible capacitive and resistive switching random access memory (ReRAM) elements.We further examine how dielectrics can hinder or aid new forms of computing such as quantum and neuromorphic in reaching their full potential. In conclusion, we find that while the field of dielectrics has a long history, it remains vibrant with numerous exciting new and old research vectors awaiting further exploration. © 2019 The Electrochemical Society.
... To minimize the plasma damage during the photoresist process, H 2 -based plasma in the RP reactor is a viable alternative. To facilitate the removal rate of photoresist, the operation temperature can be elevated [40,41]. ...
... Plasma-induced damage on the porous low-k dielectric materials depends on the porosity, the used plasma reactors, power, and gas [72][73][74][75][76]. Therefore, for porous low-k dielectric materials that are irradiated under a plasma with higher density, inductively coupling plasma (ICP) reactor, or O 2 plasma, more damage on low-k dielectrics is expected. ...
... Similar effects were observed in case of ion bombardment when ions produce a dense SiO 2 -like overlayer which inhibits O 2 or O penetration. 153,154,199,[202][203][204][205] It was revealed in Ref. 147 that exposition of low-k films to radical flux after VUV pretreatment demonstrate "negative" VUV þ O synergism also. Probably, this effect can be explained by pore shrinking due to big fluxes of VUV radiation 148,154 and additional oxidation by O atoms. ...
Article
This paper presents an in-depth overview of the application and impact of UV/VUV light in advanced interconnect technology. UV light application in BEOL historically was mainly motivated by the need to remove organic porogen and generate porosity in organosilicate (OSG) low-k films. Porosity lowered the film’s dielectric constant, k, which enables one to reduce the interconnect wiring capacitance contribution to the RC signal delay in integrated circuits. The UV-based low-k film curing (k > 200nm) proved superior to thermal annealing and electron beam curing. UV and VUV light also play a significant role in plasma-induced damage to pSiCOH. VUV light with k<190–200nm is able to break Si-CH3 bonds and to make low-k materials hydrophilic. The following moisture adsorption degrades the low-k properties and reliability. This fact motivated research into the mechanisms of UV/VUV photon interactions in pSiCOH films and in other materials used in BEOL nanofabrication. Today, the mechanisms of UV/VUV photon interactions with pSiCOH and other films used in interconnect fabrication are fairly well understood after nearly two decades of research. This understanding has allowed engineers to both control the damaging effects of photons and utilize the UV light for material engineering and nanofabrication processes. Some UV-based technological solutions, such as low-k curing and UV-induced stress engineering, have already been widely adopted for high volume manufacturing. Nevertheless, the challenges in nanoscaling technology may promote more widespread adoption of photon-assisted processing. We hope that fundamental insights and prospected applications described in this article will help the reader to find the optimal way in this wide and rapidly developing technology area.
Article
In this paper, atmospheric pressure plasma jet was used to deposit SiOx film on aluminum surface and the influence of the substrate temperature on the film properties was studied. The results showed that the substrate temperature increase promoted the precursor decomposition and film growth rate. Si-O-Si bond angle and film composition changes were observed after substrate temperature increased. An excellent insulation property of the deposited film was obtained at a moderate substrate temperature of 300 °C. An excessive increase of the substrate temperature reduced the film growth rate and even led to porous structure. In addition, aging experiments under thermal condition and high electric field duration confirmed that the estimated service life of the film was close to 50 years. This paper provides a universal treatment method for improving nonthermal plasma deposited film properties.
Article
In this paper we have carried out molecular dynamics simulation of the low-energy Ar ion irradiation of nanoporous homogeneous material with different porosity and pore sizes. Our results demonstrate that in a model with small pores (Rpore = 0.8 nm) and relatively low (22%) porosity, the pores at near-surface layers collapsed due to the ion bombardment, whereas in a model with larger pores (Rpore = 2.8 nm) and higher (44%) porosity no significant structural changes occurred under the same irradiation conditions. To study thermal stability of porous structures and to reveal the effects of both the pore radius and the porosity on pore collapsing, our nanoporous structures were subjected to gradual heating. The simulation results demonstrate distinct mechanisms of structural changes in the nanoporous materials depending on the value of the excess surface energy per unit volume.
Article
Full-text available
The current challenge in designing new low-k dielectrics is realizing sufficient mechanical and chemical stability such that the material can be integrated into current damascene schemes. The material of interest in this study in a nonporous SiCOH composite (carbon-doped silicon oxide, also known as organosilicate glass "OSG") for use as an intermetal dielectric (IMD). During integration of this IMD, processing steps such as etch, resist strip and chemical-mechanical polishing for planarization may chemically alter the outer layer of the dielectric. Here, spectroscopic ellipsometry is used to characterize the modified layer of SiCOH films after exposure to different resist strip plasmas. The data are analyzed based on a 2-layer model, consisting of a carbon-deficient layer on the surface of the low-k SiCOH dielectric. This model is supported by XPS and FTIR data. The effects of two types of plasma etch chemistry on the formation of this modified layer were studied, and differences between the two chemistries were found. The 2-layer model accurately describes the modifications produced by the oxidizing plasma, but its description of the modified layer formed by the plasma involving nitrogen is not complete. A 3-layer model with an additional nitrogen-doped layer is suggested.
Article
Full-text available
The surface stoichiometry of SiOx thin films (x = 1–2) has been studied by means of X-ray photoelectron spectroscopy. The presence of three Si oxidation states (SiO2, SiO, Si2O3) has been observed through an analysis of the Si2p line shape and the intensity variation of these different silicon oxide signals, as a function of the oxygen content, has been followed. The calculated stoichiometry has been compared with that obtained using the modified Auger parameter method. The good agreement between these results supports the validity of the modified Auger parameter as an easy and fast method to know the surface stoichiometry of SiOx films.
Article
A simple planarization technology is proposed, using an organosiloxane which gives a thicker film than conventional spin-on-glass (SOG) film. The new SOG material, HSG2200 (Hitachi Chemical Company, HSG), exhibits far better planarizing capability than the conventional one. A drawback to this film has been crack generation when it is exposed to an oxygen plasma in a resist ashing reactor. A new pretreatment, which we have named reactive glass stabilization (RGS), has been developed. RGS is the exposure of the film to an energetic O 2 plasma at low temperature using a parallel electrode sputtering reactor. The surface layer of the film is converted to an SiO 2-like condensed state which prevents the oxygen plasma from reaching the inner unconverted layer and delays further reaction between the plasma and the organosiloxane film. Three-layered interlevel dielectric using the RGS-treated HSG film as a middle layer was used to planarize a two-metal level interconnection that had superior characteristics compared to those of conventional SOGs. The resistance increase of Al-2 lines on the HSG sandwich structure was merely 4% over 0.7 μm line and space, which is far less than that of 76% on the conventional SOG sandwich structure. MOS devices with a two-metal level interconnection exhibited good electric characteristics and no hot carrier degradation.
Article
The impact of an organosilicon-based precursor structure and composition on the ultimate dielectric constant value is discussed. For many precursors, there is a trade-off between the k value and the dielectric film's mechanical properties, though process conditions play a critical role in film optimization. The first-generation low-k material, fluorosilicate glass (FSG) which is being used as the interlayer dielectric (ILD) material possess the physical and chemical attributes that enable integration into the processing schemes. Reducing dielectric constant is typically accompanied by reduced mechanical properties including hardness.
Article
Low dielectric constant, porous silica was made from commercially available methyl silsesquioxane (MSQ) by the addition of a sacrificial polymer, substituted norbornene polymer containing triethoxysilyl groups (NB), to the MSQ. The silsesquioxane‐NB polymer film mixture was thermally cured followed by decomposition of the NB at temperatures above . The dielectric constant of the MSQ was lowered from 2.7 to 2.3 by creating pores in the MSQ. The voids created in the MSQ exhibited a closed‐pore structure. The concentration of NB in the MSQ affected the number of pores but not their size. Porous films were also created in a methyl siloxane spin‐on‐glass and its dielectric constant was lowered from 3.1 to 2.7. Infrared spectroscopy was used to follow the curing of the MSQ and decomposition of the NB. ©1999 The Electrochemical Society
Article
This letter reports a study of the porosity effect on material properties of methylsilsesquioxane films, including the dielectric constant, thermal conductivity, and thermal stress behavior. In a porosity range from 0% to 50%, both the dielectric constant and thermal conductivity decreased with increasing porosity and no significant change was observed at the percolation point where pores became interconnected. In comparison, the stress-temperature slope also decreased with porosity, but as the porosity approached the percolation point, the slope showed a large drop of 40%, indicating a significant degradation of the thermomechanical properties due to percolation of pores. Assuming the coefficients of thermal expansion remain at 17 ppm/°C within the porosity range, the change in the stress-temperature slope corresponds to a decrease of the biaxial modulus from 7 to 5 GPa around the percolation point.
Article
As integrated circuit (IC) dimensions continue to decrease, RC delay, crosstalk noise, and power dissipation of the interconnect structure become limiting factors for ultra-large-scale integration of integrated circuits. Materials with low dielectric constant are being developed to replace silicon dioxide as interlevel dielectrics. In this review, the general requirements for process integration and material properties of low-k dielectrics are first discussed. The discussion is focused on the challenge in developing materials with low dielectric constant but strong thermomechanical properties. This is followed by a description of the material characterization techniques, including several recently developed for porous materials. Finally, the material characteristics of candidate low-k dielectrics will be discussed to illustrate their structure-property relations.
Article
The interaction between conventional and highly porous SiOCH with CF4,O2, and H2 plasma has been investigated. The highly porous SiOCH film has porosity about 40% and a k value about 2.2. The pristine SiOCH film has 19% of porosity and k value of 2.7. All experiments were performed at room temperature in a downstream plasma reactor. It was found that (i) the CF4 plasma etches the SiOCH film without bulk material modification (however, the etch rate was higher in the case of the SiOCH film with increased porosity); (ii) the O2 plasma oxidizes the SiOCH film converting the top layer to a hydrophilic SiO2-like porous material, the SiOCH film with increased porosity suffers more severely from this kind of plasma; (iii) the CF4/O2 plasma mixture has an optimal O2 concentration at which the etch rate is maximal; and (iv) the H2 plasma does not interact with the SiOCH film and can be a promising candidate for the resist stripping. © 2002 American Vacuum Society.
Article
The quality of organic low-k methylsilsesquioxane (MSQ) film is degraded by the damage of oxygen plasma and hygroscopic behavior during photoresist stripping. In addition, the interaction between MSQ and copper is worth investigating. In this work, we have studied the H2 plasma treatment to improve the quality and enhance the copper penetration resistance of MSQ. Experimental results show the leakage current of MSQ decreases as the H2 plasma treatment time is increased. The dielectric constant of treated samples also remains constant (∼2.7). In addition, the copper diffusion resistance of MSQ film is significantly promoted. The H2 plasma treatment can provide additional hydrogen to passivate the inner structure of porous MSQ film as well as reduce the probability of moisture uptake and interaction with Cu atoms. Therefore, the low-k dielectric properties of MSQ are significantly enhanced by H2 plasma treatment. © 1999 American Vacuum Society.