ArticlePDF Available

Sub-5-nm-spatial resolution in scanning spreading resistance microscopy using full-diamond tips

Authors:

Abstract and Figures

Scanning spreading resistance microscopy is a two-dimensional carrier profiling technique now widely used for the characterization of silicon (Si) devices as well as other semiconductor materials. Whereas the state-of-the-art spatial resolution for this technique using commercial-diamond-coated silicon probes is limited to 10-20 nm, enhanced resolution is demonstrated through the use of full-diamond tips integrated in Si cantilevers. Sub-5-nm-spatial resolution is obtained on fully depleted silicon on isolator devices, putting the technique closer to the characterization requirements of the forthcoming semiconductor dimensions. Resistance and scanning electron microscope measurements clearly show that this enhanced resolution results from a smaller effective radius for full diamond tips as compared to the diamond-coated Si probes.
Content may be subject to copyright.
Sub-5-nm-spatial resolution in scanning spreading resistance microscopy
using full-diamond tips
D. Álvarez, J. Hartwich, M. Fouchier, P. Eyben, and W. Vandervorst
Citation: Appl. Phys. Lett. 82, 1724 (2003); doi: 10.1063/1.1559931
View online: http://dx.doi.org/10.1063/1.1559931
View Table of Contents: http://apl.aip.org/resource/1/APPLAB/v82/i11
Published by the AIP Publishing LLC.
Additional information on Appl. Phys. Lett.
Journal Homepage: http://apl.aip.org/
Journal Information: http://apl.aip.org/about/about_the_journal
Top downloads: http://apl.aip.org/features/most_downloaded
Information for Authors: http://apl.aip.org/authors
Downloaded 02 Oct 2013 to 202.116.1.149. This article is copyrighted as indicated in the abstract. Reuse of AIP content is subject to the terms at: http://apl.aip.org/about/rights_and_permissions
Sub-5-nm-spatial resolution in scanning spreading resistance microscopy
using full-diamond tips
D. A
´lvareza)
Corporate Research Nanodevices, Infineon Technologies AG, D-81730 Munich, Germany, and Materials
and Components Analysis, IMEC Kapeldreef 75, B-3001 Leuven, Belgium, and KU Leuven, INSYS,
Kard Mercierlaan 92, B-3001Leuven, Belgium
J. Hartwich
Corporate Research Nanodevices, Infineon Technologies AG, D-81730 Munich, Germany
M. Fouchier
Materials and Components Analysis, IMEC, Kapeldreef 75, B-3001 Leuven, Belgium
P. Eyben and W. Vandervorst
Materials and Components Analysis, IMEC, Kapeldreef 75, B-3001 Leuven, Belgium,
and KU Leuven, INSYS, Kard. Mercierlaan 92, B-3001Leuven, Belgium
Received 13 August 2002; accepted 19 January 2003
Scanning spreading resistance microscopy is a two-dimensional carrier profiling technique now
widely used for the characterization of silicon Sidevices as well as other semiconductor materials.
Whereas the state-of-the-art spatial resolution for this technique using commercial-diamond-coated
silicon probes is limited to 10–20 nm, enhanced resolution is demonstrated through the use of
full-diamond tips integrated in Si cantilevers. Sub-5-nm-spatial resolution is obtained on fully
depleted silicon on isolator devices, putting the technique closer to the characterization requirements
of the forthcoming semiconductor dimensions. Resistance and scanning electron microscope
measurements clearly show that this enhanced resolution results from a smaller effective radius for
full diamond tips as compared to the diamond-coated Si probes. © 2003 American Institute of
Physics. DOI: 10.1063/1.1559931
The International Technology Roadmap for Semiconduc-
tors ITRSemphasizes the need for sub-10-nm resolution
for the characterization of carrier concentration profiles with
good quantification accuracy and sufficient sensitivity.1
Scanning spreading resistance microscopy SSRMis a two-
dimensional 2Dcarrier profiling technique based on the
atomic force microscope AFM. In this technique, a conduc-
tive probe in contact mode is biased relative to a semicon-
ductor sample.2The resulting current measured with a loga-
rithmic current amplifieris then a measure for the local
spreading resistance. The latter can be correlated to the local
carrier concentration through the formula:
R
/4a,1
where Ris the measured resistance (V/I),
is the local
resistivity of the sample, and ais the contact radius which
also determines the spatial resolution. SSRM has a good spa-
tial resolution 10–20 nm,2,3 a wide dynamic range
(1015–1020 cm3)4and excellent concentration sensitivity.
Routines for fast quantitative interpretation of SSRM images
require minimal calculation and are now readily available.5–7
Silicon-on-insulator SOIdevices offer numerous ad-
vantages with respect to bulk semiconductor devices8,9 and
represent one of the most promising upcoming
technologies.10 In this study, fully depleted pMOS SOI FD-
SOItest samples were measured using SSRM see Fig. 1.
The measurements were performed on a Digital Instruments
Nanoscope D-3000 AFM equipped with an SSRM module.
Two types of conducting probes were used: diamond-doped
coated Si tips11 with a spring constant that ranged from 20 to
40 N/m, and pyramidal, full-diamond tips at the end of Si
cantilevers with a spring constant of 60 N/m fabrication
process previously reported.12 The tips were inspected with
a LEO1560 thermal field emission scanning electron micro-
scope SEMwith Gemini column at 5 keV prior to the mea-
surement and those with the smallest radius were selected.
The sample was prepared by cleavage and subsequent me-
chanical polishing to expose the cross section of the device.
A low surface roughness (rms0.2 nm) was achieved in or-
der to avoid topography induced artefacts in the measure-
ments. To collect the current, all the layers of interest were
contacted by the deposition of Pt using a focused ion beam
FIB.
Figure 2ashows a SSRM image of a pMOS FD-SOI
device original scan area 22
m2) measured with a
aElectronic mail: david.alvarez@infineon.com
FIG. 1. Schematic of the cross section of a FD-SOI transistor. Implant doses
were boron 7 keV, 51015 cm2and phosphorus 12 keV 6.51012 cm2
for the source/drain and channel, respectively.
APPLIED PHYSICS LETTERS VOLUME 82, NUMBER 11 17 MARCH 2003
17240003-6951/2003/82(11)/1724/3/$20.00 © 2003 American Institute of Physics
Downloaded 02 Oct 2013 to 202.116.1.149. This article is copyrighted as indicated in the abstract. Reuse of AIP content is subject to the terms at: http://apl.aip.org/about/rights_and_permissions
diamond-coated tip. The applied voltage between the tip and
the sample was 0.6 V, the force throughout the measurement
40
N and the scan rate 1 Hz. No significant difference in
the measured resistance was observed for a range of 25–40
N in the applied force and of 0.5–0.6 V in the applied
voltage. It is clear that SSRM can successfully resolve the
different parts of the device. The buried oxide exhibits a
much higher resistance than the source/drain and gate. The
outdiffusion of the source/drain implants into the channel
establishing the effective channel length of the transistor,
could also be resolved.
Figure 2bshows another transistor from the same
sample but measured with a full diamond tip. A scan area of
400400 nm2was selected in this case. The chosen param-
eters were 0.5 V for the applied voltage, 60
N for the force
and 1 Hz for the scan rate. No significant difference for the
measured resistance was observed over a range of 30–60
N
for the force. The outdiffusion from the source into the chan-
nel can be clearly resolved.
Figure 2cshows a comparison of the cross section of
the region where the gate and the source overlap for the two
different measurements. The section taken with a full dia-
mond tip shows a much steeper transition from the conduc-
tive to the isolating region. The variation from 10% to 90%
of the log(R) measured in the source with respect to the
buried oxide takes 10 nm for the full diamond tip, whereas it
requires 51 nm for the coated tip. The result from a full
diamond tip shows a very flat profile within the channel with
only a limited increase when approaching the buried oxide.
This is indicative of the minimal 2D interaction with nearby
layers and supports the calculations by DeWolf et al.4,13 who
have shown that current confinement and the need to intro-
duce 2D-correction factors only arises when approaching an
insulating boundary within two tip radii. In our case, an ef-
fective tip radius of 5 nm can be inferred. Within the same
reasoning an influence extending over 25 nm can be seen for
the diamond coated tips. The use of full diamond tips leads
to a more accurate measurement of the thickness for gate and
channel/source layer, the actual values being in close agree-
ment with the nominal values see Fig. 1. Additionally, in
the case of full diamond tips, a peak in the measured resis-
tance between gate and source is observed, which is attrib-
uted to the gate oxide 3nm. Finally, one can observe that
the measured resistance for the same layers is in every case
larger for full diamond tips. This suggests, according to Eq.
1, a smaller effective radius for the second probe. To check
the reproducibility, two more pairs of tips were tested for
similar structures from the same sample. Coated tips gave
distances of 78 and 50.7 nm for the same transition from
source to buried oxide, while full diamond tips gave 39 and
19 nm. Full diamond tips always gave a higher resistance as
well. Four images were taken of the same device with each
probe under similar conditions and neither decrease in the
resolution nor change in the measured resistance was ob-
served, indicating a good wear behavior for small scan areas
(1–4
m2).
Figures 3a–3cshow three high magnification SEM
images of the apex of three different tips. Tip 3 belongs to
the set of probes selected for the measurement of the FD-SOI
devices shown in Fig. 1. Figure 3dshows the apex of a
coated Si tip. Higher magnification was not possible due to
the rapid deposition of a carbon contamination layer and to
the movement of probe when focusing at the very end of the
tip apex due to the cantilever bending by the electron beam.
The images clearly show however that tip 3 exhibits a geo-
metrical radius radius of curvature of the tip apexmuch
smaller than that of the coated tip. Full diamond tips are
fabricated in a molding process, in which the diamond is
deposited in inverted pyramids etched onto Si. The diamond
grows according to the shape of the pyramidal molds, which
fully determines the final geometry of the tip. For coated tips
the diamond is deposited on an existing Si tip without any
mold to externally shape the coating, resulting in a rough
surface. It is this roughness which finally provides the effec-
tive radius of these tips. Aspatial resolution of 10–20 nm for
topographic measurement has been reported for these
probes.14 To evaluate the effective radius of the tips tip
sample contact radius,IVcurves in point contact on bulk
Pt were performed in order to measure the total resistance of
FIG. 2. aSSRM measurement of a FD-SOI as obtained with a diamond
coated silicon tip; bTransistor from the same sample measured with a full
diamond tip; ccomparison of two cross sections from both reveals a better
spatial resolution for the full diamond tip.
1725Appl. Phys. Lett., Vol. 82, No. 11, 17 March 2003 A
´lvarez
et al.
Downloaded 02 Oct 2013 to 202.116.1.149. This article is copyrighted as indicated in the abstract. Reuse of AIP content is subject to the terms at: http://apl.aip.org/about/rights_and_permissions
the probes. Figure 3eshows the obtained curves and the
calculated resistance.
The coated tip showed a resistance of 10 k. The resis-
tance of full diamond tips exhibits a large dependence on the
tip radius. For tip 3 a slight deviation from the ohmic behav-
ior is observed. This could be due to the presence of a con-
tamination layer adsorbed on the sample from the SEM15 or
to a lower B concentration in the very first layers of the
deposited diamond due to the pretreatment of the substrate to
enhance the nucleation density.16 Both factors should be
more critical for very sharp tips, where the contact area with
the conductive substrate is nm2. This would also explain
the more noisy SSRM image obtained with the very sharp
full diamond tip for the SOI device. Taking into account the
pyramidal shape of the tip and a nominal bulk resistivity for
the diamond of 0.01 cm,8the effective tip radius can be
calculated from the measured resistance using the formula:17
R
/4rtan
,2
where ris the tip radius and
35.3° the apex angle for
these molded probes. This computes to 50, 25, and 3.5 nm
contact radius for tip 1, tip 2, and tip 3, respectively.
The first calibration measurements have shown a good
sensitivity and dynamic range (1015–1020 cm3) for full
diamond tips using epitaxial staircase calibration
structures.12,18 For the sharpest tips obtained, scanning over
the large areas of our calibration structures (40
m
depth18 led to tip wear change in tip radiusthat did not
make a reliable calibration possible.
In conclusion, full diamond tips can achieve a smaller
effective radius in single contact mode than that of diamond
coated Si tips. In scanning mode, full diamond tips can show
a spatial resolution of less than 5 nm for SSRM measure-
ments as demonstrated by the analysis of FD-SOI devices.
This brings SSRM one step further to meeting the ITRS
requirements for carrier profiling spatial resolution. Opti-
mum quantification requires the availability of thin calibra-
tion structures in order to limit tip wear during the calibra-
tion measurements.
D.A
´. and M.F. gratefully acknowledge financial support
by the EU-Project HERCULAS and P. Eyben by the Institute
for Science and Technology IWT.
1The International Technology Roadmap for Semiconductors ITRS, 2001
ed.
2P. De Wolf, T. Clarysse, W. Vandervorst, L. Hellemans, Ph. Niedermann,
and W. Ha
¨nni, J. Vac. Sci. Technol. B 16, 355 1998.
3P. De Wolf, R. Stephenson, T. Trenkler, T. Clarysse, T. Hantschel, and W.
Vandervorst, J. Vac. Sci. Technol. B 18,3612000.
4P. De Wolf, Ph.D. thesis, KUL, May, Leuven, 1998.
5P. Eyben, S. Denis, T. Clarysse, and W. Vandervorst, Mater. Res. Soc.
Symp. Proc. 717, c7.7 2002.
6P. Eyben, N. Duhayon, C. Stuer, I. De Wolf, T. Clarysse, W. Vandervorst,
and G. Badenes, Mater. Res. Soc. Symp. Proc. 610, b2.2 2000.
7P. Eyben, N. Duhayon, C. Stuer, I. De Wolf, R. Rooyackers, T. Clarysse,
W. Vandervorst, and G. Badenes, Mater. Res. Soc. Symp. Proc. 669, b2.2
2001.
8G. G. Shahidi, C. A. Anderson, B. A. Chappell, T. I. Chappell, J. H.
Comfort, B. Davari, R. H. Dennard, R. L. Franch, P. A. McFarland, J. S.
Neely, T. H. Ning, M. R. Polcari, and J. D. Warnock, IEEE Trans. Electron
Devices 41, 2405 1994.
9J.-P. Colinge, Silicon-on-Insulator Technology: Materials to VLSI Kluwer
Academic, Dordrecht, 1991.
10M. Yoshimi, ULIS Conference 2001,p.39.
11 Nanosensors GmbH, Im Amtmann 6, D-35578 Wetzlar, Germany.
12T. Hantschel, P. Niedermann,T. Trenkler, and W. Vandervorst, Appl. Phys.
Lett. 76, 1603 2000.
13P. De Wolf, T. Clarysse, and W. Vandervorst, J. Vac. Sci. Technol. B 16,
320 1998.
14T. Trenkler, T. Hantschel, R. Stephenson, P. De Wolf, W. Vandervorst, L.
Hellemans, A. Malave
´,D.Bu
¨chel, E. Oesterschulze, W. Kulisch, P. Nie-
dermann, T. Sulzbach, and O. Ohlsson, J. Vac. Sci. Technol. B 18,418
2000.
15Ph. Niedermann, W. Ha
¨nni, D. Morel, A. Perret, N. Skinner, P.-F. Inder-
mu
¨hle, N.-F. de Rooij, and P.-A. Buffat, Appl. Phys. A: Mater. Sci. Pro-
cess. 66,311998.
16W. Kulisch, A. Malave
´, G. Lippold, W. Scholz, C. Mihalcea, and E.
Oesterschulze, Diamond Relat. Mater. 6, 906 1997.
17T. Hantschel, Ph.D. thesis, KUL, Leuven, 2000.
18T. Clarysse, M. Caymax, P. De Wolf, T. Trenkler, W. Vandervorst, J. S.
McMurray, J. Kim, C. C. Williams, J. G. Clark, and G. Neubauer, J. Vac.
Sci. Technol. B 16, 394 1998.
FIG. 3. a,b,cMolded full diamond tips with different radii as a result
of different filling of the Si pits during the diamond deposition; ddiamond
coated Si tip; eIVcurves and measured resistance for the probes.
1726 Appl. Phys. Lett., Vol. 82, No. 11, 17 March 2003 A
´lvarez
et al.
Downloaded 02 Oct 2013 to 202.116.1.149. This article is copyrighted as indicated in the abstract. Reuse of AIP content is subject to the terms at: http://apl.aip.org/about/rights_and_permissions
... For microelectronic characterization at the wafer level, both Scanning Capacitance Microscopy (SCM) [6][7][8] and Scanning Spreading Resistance Microscopy (SSRM) [9,10] modes have been implemented to map carrier concentrations of semiconductors with high spatial resolution [5,9,11]. These two electrical modes are contact modes, where conductive tips interact with the sample to probe the local electrical properties [12,13]. Figure 1 presents the Scanning Electron Microscopy (SEM) views of the used probes. ...
Article
Full-text available
Progressing miniaturization and the development of semiconductor integrated devices ask for advanced characterizations of the different device components with ever-increasing accuracy. Particularly in highly doped layers, a fine control of local conduction is essential to minimize access resistances and optimize integrated devices. For this, electrical Atomic Force Microscopy (AFM) are useful tools to examine the local properties at nanometric scale, for the fundamental understanding of the layer conductivity, process optimization during the device fabrication and reliability issues. By using Scanning Capacitance Microscopy (SCM) and Scanning Spreading Resistance Microscopy (SSRM), we investigate a highly in situ doped polycrystalline silicon layer, a material where the electrical transport properties are well known. This film is deposited on a oxide layer as a passivating contact. The study of the nano-MIS (SCM) and nano-Schottky (SSRM) contacts allows to determine the distribution and homogeneity of the carrier concentration (active dopants), especially by investigating the redistribution of the dopants after an annealing step used for their activation. While the chemical analysis by Secondary Ions Mass Spectroscopy (SIMS) quantifies only the dopant concentration in the polycrystalline layer, the comparison with macroscopic characterization techniques as Hall effect measurements, supported with XRD characterization, shows that careful SCM and SSRM measurements can be used to highlight the dopant activation. This analysis gives a complete investigation of the local electrical properties of the passivating contact when the parameters (applied voltages and applied forces) of the AFM nano-contacts are correctly controlled.
... In addition, surface states may influence the amount of detected charge carriers. [20][21][22] Therefore, SSRM is more often used for qualitative [23][24][25][26][27] rather than for quantitative 22 analyses of dopant distributions in semiconductors. Quantified SSRM analyses of the active dopant level in conjunction with results on the total chemical dopant concentration provide valuable information about the level of electrical dopant activation or deactivation. ...
Article
Diffusion profiles of arsenic and antimony in undoped and carbon doped germanium (Ge), respectively, were analysed by means of scanning spreading resistance microscopy (SSRM). Whereas earlier secondary ion mass spectrometry analyses have determined the distribution of the chemical concentration of dopants and carbon, the electrically active defect concentration is quantified by SSRM using appropriate calibration samples and a preparation technique that reduces the surface roughness and its density of electronic states. Pronounced differences between the chemical and electrical dopant profiles are observed and consistently described by the formation of inactive dopant defect complexes in the framework of the vacancy mediated diffusion of donor atoms in Ge. This reveals that donor deactivation occurs during dopant diffusion at elevated temperatures.
... In majority of cases, certain surface treatments of the cross-sectional surface are applied prior to measurements to eliminate undesirable surface effects. Quantitative impurity profiles by SSRM and SKPM have been demonstrated for high impurity concentrations, where a spatial resolution on the order of the probe tip radius (~5 nm) was obtained under optimum conditions [16][17][18][19]. ...
Chapter
Full-text available
Significant attention has been addressed to high-spatial resolution analysis of modern sub-100-nm electronic devices to achieve new functions and energy-efficient operations. The chapter presents a review of ongoing research on charge carrier distribution analysis in nanoscale Si devices by using scanning tunneling microscopy (STM) employing advanced operation modes: a gap-modulation method, a molecule-assisted probing method, and a dual-imaging method. The described methods rely on detection and analysis of tunneling current, which is strongly localized within an atomic dimension. Representative examples of applications to nanoscale analysis of Si device cross-sections and nanowires are given. Advantages, difficulties, and limitations of the advanced STM methods are discussed in comparison with other techniques used in a field of device metrology.
Article
The realization of practical semiconductor nanowire optoelectronic devices requires controlling their electrical transport properties, which are affected by their large surface/volume ratio value and potentially inhomogeneous electrical dopant distribution. In this article, the local carrier density in Si-doped and Mg-doped GaN nanowires grown catalyst-free by molecular beam epitaxy was quantitatively measured using scanning spreading resistance microscopy. A conductive shell surrounding a more resistive core was observed in Mg-doped, p-type GaN nanowires, balancing the formation of a depleted layer associated with sidewall surface states. The formation of this conductive layer is assigned to the peripheral accumulation of Mg dopants up to values in the 10²⁰ /cm³ range, as determined by quantitative energy dispersive x ray spectroscopy measurements. By contrast, Si-doped n-type GaN nanowires exhibit a resistive shell, consistent with the formation of a depleted layer, and a conductive core exhibiting a decreasing resistivity for increasing Si doping level.
Thesis
Full-text available
This thesis focuses on the investigation of crystalline silicon solar cells at the nano-scale using two scanning probe microscopy techniques: Kelvin Probe Force Microscopy (KPFM) and Conducting Probe Atomic Force Microscopy (CP-AFM). After strengths/weaknesses analysis of both techniques, we perform material and devices investigations. Material investigations include doping measurements using CP-AFM and lifetime measurements on passivated wafers using KPFM. Devices investigations were performed under operating conditions using KPFM. Measurements under electrical bias are compared to scanning electron microscopy measurements. They show that KPFM enables to map resistance and diode effects at the nano-scale. Lifetime measurements were also highlighted, using modulated frequency electrical bias. Then, the sensitivity of KPFM measurements to illumination intensity and wavelength was studied. Finally, a summary table is drawn and enables to imagine an “ideal” microscopy setup to for crystalline silicon solar cells investigation. We propose measurements of interest than can be performed using this setup.
Article
Scanning spreading resistancemicroscopy (SSRM) was performed on non-intentionally doped (nid) ZnO nanowires (NWs) grown by metal-organic chemical vapor deposition in order to measure their residual carrier concentration. For this purpose, an SSRM calibration profile has been developed on homoepitaxial ZnO:Ga multilayer staircase structures grown by molecular beam epitaxy. The Ga density measured by SIMS varies in the 1.7 × 1017 cm−3 to 3 × 1020 cm−3 range. From measurements on such Ga doped multi-layers, a monotonic decrease in SSRM resistance with increasing Ga density was established, indicating SSRM being a well-adapted technique for two dimensional dopant/carrier profiling on ZnO at nanoscale. Finally, relevant SSRM signal contrasts were detected on nid ZnO NWs, and the residual carrier concentration is estimated in the 1–3 × 1018 cm−3 range, in agreement with the result from four-probe measurements.
Article
Full-text available
An overview of the existing two-dimensional carrier profiling tools using scanning probe microscopy includes several scanning tunneling microscopy modes, scanning capacitance microscopy, Kelvin probe microscopy, scanning spreading resistance microscopy, and dopant selective etching. The techniques are discussed and compared in terms of the sensitivity or concentration range which can be covered, the quantification possibility, and the final resolution, which is influenced by the intrinsic imaging resolution as well as by the response of the investigated property to concentration gradients and the sampling volume. From this comparison it is clear that, at present, none of the techniques fulfills all the requirements formulated by the 1997 Semiconductor Industry Association roadmap for semiconductors [National Technology Roadmap for Semiconductors (Semiconductor Industry Association, San Jose, CA, 1997)]. Most methods are limited to pn-junction delineation or provide a semiquantitative image of the differently doped regions. However, recent comparisons have shown that the techniques can provide useful information, which is not accessible with any other method. © 2000 American Vacuum Society.
Conference Paper
Full-text available
Scanning Spreading Resistance Microscopy (SSRM) is now widely used for two-dimensional doping profiling with high spatial resolution. The need for a high force between the tip and the sample in order to obtain a good electrical contact, leads to a fast degradation of the tip (and the sample) while scanning. Tip damage is mainly due to the shear force occurring while scanning in contact mode at high forces leading to breakage (cleavage) of sharp tips or a rapid increase of tip radius (wear). The latter adversely affects the accuracy of the electrical measurements, as the contact radius is a determining parameter for quantification. The strong abrasive force also necessitates the use of tips composed of very hard material such as doped diamond, which has however a limited resistivity, and so far prevented the use of metallic probes. In addition the high force also prevents the simultaneous acquisition of high quality topography data. The solution to these problems is obtained by implementing the Modulated Force Principle (MFP). The latter consists of applying a variable (for instance pulsed) force while scanning, reducing the force during the lateral movement of the tip and synchronizing the electrical measurements with the high force periods. The latter results in lower lateral forces and introduces a quasi multi point contact mode. MFP also allows to obtain a better topography image by synchronizing the topography measurement with the low force part of the force cycle. The MFP leads to a drastic reduction of the surface and probe damage while maintaining high quality electrical data. The implementation of multiplexed detectors within the force cycle further enables the simultaneous acquisition of spreading resistance and topography during one scan, and/or the combination with multiple linear current detectors, capacitance sensors or tunneling current measurements.
Article
Initial studies (using Scanning Spreading Resistance Microscopy) on the lateral diffusion of B and As have shown an important influence of the thickness of oxy/nitride spacers. The latter phenomenon was tentatively ascribed to stress enhanced diffusion under the spacer region [1]. These studies have been complemented with Scanning Capacitance Microscopy (SCM) measurements, which confirm the SSRM-data. In fact both techniques shows a similar increase in lateral diffusion with increasing spacer thickness (∼ 0.2 nm/nm spacer thickness), whereby no effect is observed on the vertical diffusion. When using spacers with or without TEOS-liner, fairly similar enhancements could be seen. Micro-Raman and CBED stress measurements for these cases do however show a large reduction in stress when a TEOS-liner is used, suggesting that the correlation (at least to the final) stress is not really justified. A possible explanation could however be that the lateral diffusion occurs before the stress relaxation within the thermal treatment. In order to elucidate the diffusion mechanism (initial stress, interstitials, hydrogen incorporation, TED,..) we have expandedthe experimental matrix with a vacancy diffuser such as Sb and simulated the potential H-incorporation duringthe nitride deposition by a hydrogen anneal. Moreover we also have studied the impact of TED by splits with RTP-anneals before the nitride deposition.
Article
The nano-spreading resistance profiling (nano-SRP) method has been developed and improved such that it can now be used as an accurate tool for quantitative two-dimensional carrier profiling. Instrumental improvements include the use of batch-fabricated, conducting diamond-coated silicon probes, and a low-noise logarithmic current amplifier. The spatial resolution (10 nm), the dynamic range (1014–1020 atoms/cm3), and the sensitivity (1014 atoms/cm3) of the nano-SRP technique are illustrated by profiling a wide range of state-of-the-art device structures. Two-dimensional measurements of the carrier distribution inside fully processed metal–oxide–semiconductor transistors with gate lengths varying from 2 μm down to 0.25 μm illustrate the strength of the technique to map present and future devices. The nano-SRP method currently has sufficient resolution to demonstrate the small asymmetry in the source/drain profiles from transistors in which the sample was not rotated during the 7° implant. The electrical transistor characteristics confirm the nano-SRP results. As another example, the lateral diffusion of arsenic and phosphorus profiles is studied as a function of implantation conditions. All results are compared with conventional one-dimensional techniques (SRP and secondary ion mass spectroscopy). © 1998 American Vacuum Society.
Article
In nanospreading resistance, the resistance measured at a particular position on the sample cross section is not exclusively determined by the carrier concentration at this position, but by the entire surrounding carrier profile. The correct evaluation of this spreading effect requires a detailed calculation, leading to a deconvolution algorithm, which recovers the charge-carrier profile from the measured resistance profile. In this work, a general scheme for transforming a wide range of profiles is proposed. The scheme is based upon finite-element calculations of the potential distribution and the current spreading of a circular flat contact current source on a semi-infinite semiconductor sample with known carrier distribution. A correction factor database is formed as a function of typical profile characteristics such as (i) the distance to perfectly isolating or conducting boundaries, (ii) carrier gradient, and (iii) carrier curvature. In routine operation the transformation of resistance data into the carrier-concentration profile is done by interpolation of the database, hereby avoiding the time-consuming finite-element calculations. The latter results in a very fast calculation of the carrier profile data with an accuracy better than 10%, without any loss in spatial resolution. Examples are given that illustrate the accuracy of the method. © 1998 American Vacuum Society.
Article
Scanning spreading resistance microscopy (SSRM) is a powerful method for the characterization of Si semiconductor devices based on atomic force microscopy (AFM). It requires conductive probe tips made of doped diamond. Although various solid diamond probes have been fabricated, they could not satisfy the requirements for SSRM. Therefore, we have developed a SSRM probe composed of a pyramidal diamond tip attached to a Si cantilever. This letter describes the probe fabrication process briefly and presents excellent SSRM measurements obtained on Si calibration samples. Solid diamond tips integrated in Si cantilevers were used for SSRM showing a significantly higher dynamic range than the conductive probes known to date. © 2000 American Institute of Physics.
Article
Frequently electrical characterization techniques [such as the spreading resistance probe (SRP)], rely on the availability of a set of well-calibrated, homogeneously doped Si samples to establish the calibration curves (and parameters) necessary for the conversion of resistance measurements into carrier profiles. Although ideally such a calibration should be verified daily, in practice, time considerations limit the daily verification to one (or a few) calibration samples. To remedy this situation a special multilayer Si structure has been grown consisting of a decreasing B-doped staircase containing seven flat 4–5 μm thick calibration layers doped from 1020/cm3 down to 1015/cm3 separated by slightly (factor 2–3) higher doped 1–2 μm thick interface layers. The latter are included to facilitate the SRP calibrations as the SRP correction factor within the calibration layers now becomes very close to one. Since presently, a calibration curve can be generated quickly from a single measurement, daily measurements over a period of several months clearly indicate concentration-dependent drifts of the SRP-calibration curve. In addition to the calibration purposes we will demonstrate that this sample also can be used for the direct comparison of SRP, nano-SRP, scanning capacitance microscopy (SCM), and selective etching, etc. in terms of their dynamic range, quantification properties, and sensitivity. © 1998 American Vacuum Society.
Article
The availability of very sharp, wear-proof, electrically conductive probes is one crucial issue for conductive atomic force microscopy (AFM) techniques such as scanning capacitance microscopy, scanning spreading resistance microscopy, and nanopotentiometry. The purpose of this systematic study is to give an overview of the existing probes and to evaluate their performance for the electrical techniques with emphasis on applications on Si at high contact forces. The suitability of the characterized probes has been demonstrated by applying conductive AFM techniques to test structures and state-of-the-art semiconductor devices. Two classes of probes were examined geometrically and electrically: Si sensors with a conductive coating and integrated pyramidal tips made of metal or diamond. Structural information about the conductive materials was obtained by electron microscopy and other analytical tools. Swift and nondestructive procedures to characterize the geometrical and electrical properties of the probes prior to the actual AFM experiment have been developed. Existing contact models have been used to explain variations in the electrical performance of the conductive probes. © 2000 American Vacuum Society.
Article
State-of-the-art semiconductor devices require an accurate control of the complete twodimensional dopant distribution. The routine use of process simulators to predict the envisaged distributions and their resulting accuracy, is strongly linked to the physical models contained in these programs as well as their calibration. Whereas SIMS and SRP have been used extensively for the calibration of 1D-profiles, calibration of 2D-profiles has been very limited. In this work, we report some results obtained with the 2D-profiling techniques SSRM (Scanning Spreading Resistance Microscopy) and SCM (Scanning Capacitance Microscopy ) for the study of two-dimensional effects on diffusion. In particular, we discuss the role of the nitride spacer on the lateral diffusion of arsenic and boron. Using series of transistors with different nitride spacers with or without TEOS-liners, a strong dependence between the lateral diffusion and the nitride spacer thickness can be observed using SSRM and SCM. The process flow eliminates the possible contribution of Transient enhanced diffusion (TED) as a dominant mechanism. At the same time an enhancement of the lateral stress underneath the spacers has been observed with CBED and Raman, suggesting a correlation between the lateral diffusion and the nitride spacers. The enhanced diffusion of As and B is strongly linked to the spacer size whereby the differences in enhancement suggest that the proximity of the dopants to the stress field field region is an important parameter.