ArticlePDF Available

Review of methods for the mitigation of plasma‐induced damage to low‐dielectric‐constant interlayer dielectrics used for semiconductor logic device interconnects

Authors:
  • Tokai National Higher Education and Research system Nagoya University

Abstract and Figures

The developments in advanced interconnect technology for semiconductor logic devices for the mitigation of plasma‐induced damage to low‐dielectric‐constant (low‐k) materials, including fluorosilicate glass and carbon‐doped silicon oxide is reviewed. The chemical bond structures of low‐k materials are summarized to help mitigate the k value degradation caused by moisture uptake after plasma processes. Damage suppression is accomplished by integrating deposition chemistries, pattern etch transfer, and post‐etch cleaning technologies. On the basis of analyses results, a discussion on the bond engineering of low‐k materials and their degradation during plasma processing is given. Challenges facing low‐k interconnect technology are also addressed.
Content may be subject to copyright.
- 1 -
Published in Plasma Processes and Polymers e1900039 (pp. 1-20) (2019)
DOI: 10.1002/ppap.201900039
Review of methods for the mitigation of plasma-induced damage to low-
dielectric-constant interlayer dielectrics used for semiconductor logic device
interconnects
Hideshi Miyajima1,2*, Kenji Ishikawa2, Makoto Sekine2, and Masaru Hori2
–––––––––
1 Advanced Memory Development Center, Toshiba Memory Corporation, Yamanoisshiki-cho,
Yokkaichi 512-8550, Japan
2 Graduate School of Engineering, Nagoya University, Furo-cho, Chikusa Nagoya 464-8603,
Japan
E-mail: hideshi.miyajima@toshiba.co.jp
–––––––––
The developments in advanced interconnect technology for semiconductor logic devices for
the mitigation of plasma-induced damage to low-dielectric-constant (low-k) materials,
including fluorosilicate glass and carbon-doped silicon oxide is reviewed. The chemical bond
structures of low-k materials are summarized to help mitigate the k-value degradation caused
by moisture uptake after plasma processes. Damage suppression is accomplished by
integrating deposition chemistries, pattern etch transfer, and post-etch cleaning technologies.
Based on analyses results, a discussion on the bond engineering of low-k materials and their
degradation during plasma processing is given. Challenges facing low-k interconnect
technology are also addressed.
1 Introduction
In very-large-scale integrated circuit fabrication, the number of semiconductor logic
devices has continued to grow. 1.75 billion transistors were grown on a 122-mm2 chip in
2015.[1] The billions of transistors are interconnected by metal lines and via holes
surrounding interlayer dielectrics. The integration complexity of logic circuits has led to the
- 2 -
need for more than ten interlayers for metal interconnects. As planar dimensions decrease, the
gate delay of transistors decreases with Dennard's scaling law, but the wire resistance and
inter-line capacitance increase. The electrical performance of interconnects can be described
by the resistance-capacitance (RC) product, namely that of the resistance of the metal lines
and their inter-capacitance, given by:[2]
 
(1)
where ρ is the resistivity, L is the width, P is the pitch, and H is the height of metal lines and k
is the dielectric constant of the inter-line dielectric. With continuous shrinkage of dimensions,
the geometric scaling down of transistors increases transistor density and improves electrical
performance in terms of higher signal transmission speed and lower dynamic power
consumption compared to those for larger-dimensional devices until the 0.18-μm node.
Conventional aluminum (Al) metal lines used for the 0.25-μm node have been replaced by
copper (Cu). Equivalent scaling has recently emerged, driving three-dimensional (3D)
integration, the introduction of novel materials, and heterogeneous system-in-package
integration.
When Cu replaced Al in the late 1990s, metal patterning integration drastically
changed, inverting the sequence of dielectric patterning and metal filling, called the
damascene process. The damascene integration sequence consists of the formation of vias and
trenches in dielectrics using plasma etching and photo mask process. Lithographic masks are
removed by using ash processes. Then, diffusion barrier metals such as Ta and thin seed Cu
films are deposited using physical vapor sputtering deposition. The Cu is deposited using
electrochemical deposition, completely filling the via holes and trench lines. Excess Cu is
removed using chemical mechanical polishing (CMP). An etch stop layer is deposited on the
CMP Cu to finish one level of metal interconnects or two layers of inter-line dielectrics.
- 3 -
For multilevel interconnects, the migration to damascene technology was led to problems in
the plasma processes, such as etch and ash processes, as well as problems in the material
engineering of low-k dielectrics in the integration of interconnect fabrication.[3,4] To
decrease the inter-line capacitance, conventional SiO2 dielectrics (k = 4.0) have been replaced
by low-k dielectrics, such as chemical vapor deposition (CVD) fluorinated silicate glass (FSG
or SiOF; k = 3.6), carbon-doped silicon oxide (SiOC; k = ~3.0), and porous silicon
oxycarbide (p-SiOC; k < 3.0) (Table I).[5] Meanwhile, the Cu capping layer was developed
by taking into consideration the issues of copper diffusion into the dielectric layer, high
adhesion of the interface between the copper and the dielectric for high interconnect-
reliability of electron migration and stress-induced voiding, and etch-stop layer of via opening.
Since oxidized copper atoms are caused, engineering of the interface with protection of
oxidation is essentially needed. The Cu capping layer material was changed from SiN to SiCN,
and then the layer thickness was reduced. Despite changes to materials for the integration of
low-k dielectrics, the following problems must be solved in the processing modules of the
integration sequence for low-k materials: low plasma resistance, moisture uptake, gas
penetration, metal penetration, low mechanical strength, and poor adhesion. These problems
are mostly associated with material engineering and plasma processes.
In this review, we focus on solutions for the mitigation of plasma-induced damage to
low-k material using material bond engineering, process optimizations and improvements,
and interface controls. During plasma processes, it is necessary to solve the following issues:
critical dimension loss, k increase, resist poisoning, intra line-to-line leakage, poor reliability,
peeling off during CMP, delamination of stacked layers, stack cracking, and packaging failure.
An understanding of the mechanisms underlying the deposition and modification of low-k
materials for plasma processes is required for the development of process schemes.
- 4 -
Table I. Technology trends of dual-damascene structure of Cu/low-k multilevel
interconnects.[5]
2 History of development of multilevel interconnect technology
2.1 Damascene Cu and SiO2 until 180-nm node
In the late 1990s, the 250-nm node faced a serious interconnect delay; in the worst case, it was
longer than the gate delay. More levels of wiring were utilized to shorten the signal
interconnect length. In the migration to multilevel interconnects, global planarization
necessitated the use of a CMP process.[6] With demand for low-resistivity metal, lithographic
pattern-transfer etch technology replaced Al wiring with dielectrics. In this scheme, the
patterned via-hole and trench-line interiors are covered with thin films of a diffusion barrier
and seed. The interiors are completely filled by electroplating Cu; excess Cu is removed by
planarization with CMP.[6] The polished Cu surface is cleaned and immediately capped with
a dielectric film, which serves as a diffusion barrier and etch-stop. The dual-damascene (DD)
process reduces the number of processing steps required to fabricate interconnects every two
layers for via holes and trench lines. Plasma processes such as plasma-enhanced deposition,
reactive ion etching (RIE) for dielectrics, and plasma pre-cleaning for Cu surfaces have been
critical in development of technologies.
Structure Device of Generation 130 nm 90 nm 65 nm < 45 nm
Monolithic DD
Cu Cap
Material SiN SiCN SiCN porous-
SiCN
k7.0 4.9 4.9 3.5
ILD
(Inter Layer
Dielectric)
Material SiOF SiOC SiOC porous-
SiOC
k3.4 2.9 2.6 2.3
Post cure EB or UV EB or UV
film density
2.2 g/cm31.4 g/cm31.3 g/cm31.1 g/cm3
Hardness
/Modulus
4.4 GPa
/40 GPa
1.9 GPa
/11 GPa
1.9 GPa
/10 GPa
0.7 GPa
/5.9 GPa
Cu
Cu cap
ILD
- 5 -
2.2 SiOF for 130-nm node
In the 130-nm node, low-k dielectrics (SiOF; k = 3.4) were first introduced for the mass
production of advanced semiconductor logic devices. The DD structure was fabricated with
the Cu capping of CVD silicon nitride (CVD SiN; k = 7.0). With the use of SiOF and SiN
combination, the effective k value of the entire interconnect structure was reduced to 4.0.
Despite the low initial dielectric constant of SiOF films, moisture adsorption became an issue.
To reduce moisture uptake, the SiOF layer was sandwiched between two SiO2 layers. Once
moisture uptake in SiOF film occurs, thermal annealing induces F diffusion, causing the
delamination of layers. Although the suppression of moisture uptake is accomplished,
stacking is not a fundamental solution.
High-density plasma technology was applied to SiOF films to minimize moisture
uptake. Miyajima et al. found that only highly dense SiOF films were resistant to moisture
uptake, realizing enhancement of O+ and O2+ ion bombardment during SiOF deposition using
tetraethyl orthosilicate, formally named tetraethoxy-silane (TEOS), O2, and CF4 mixture
chemistries because of removing carbon and hydrogen of precursors from Si-O networks.[7]
Material engineering was used to suppress and prevent moisture uptake.
2.3 SiOC for 90-nm node
In the 90-nm node, hydrophobic group-terminated low-k films were developed.[6] CVD SiOC
(k = 2.9) was introduced for mass production. Cu capping of CVD SiCN (k = 4.9) was used to
fabricate the DD structure.
Due to methyl group of Si-CH3 in the film, the SiOC film is easily damaged to deplete
carbon by NH3 or N2/H2 mixture plasma treatment for photoresist removal, called the ash
processes.[8] During the ash process, Si-CH3 is removed by H atoms and moisture converts
- 6 -
Si-O-Si linkages and Si-OH terminations. Miyajima et al. reported that transmission
Fourier transform infrared (FT-IR) spectra clearly showed SiCH3 reduction and Si-OH
formation after NH3 plasma treatment.[8] Si-OH provides sites for water molecule adsorption.
Yagisawa et al. proposed a method for determining the amount of water in porous silica film
using thermal desorption spectroscopy (TDS)[9] and electrical measurements.[10,11] Plasma-
induced modification results the dielectric constant increase caused by moisture uptake.
The alignment of patterns for via holes and trench lines is important because spin-on
bottom anti-reflective coating (BARC) has low etching selectivity against photoresist masks.
Trench etching forms plasma-etch residues called crowns or fences, causing void formation in
barrier and seed metal depositions. Sacrificial via hole filling eliminates these crown-like
residues. First, via holes are formed by RIE. Second, the via holes are filled completely using
planarization with the bottom resist. Third, trench patterning is used to form a stack of a spin-
on-glass (SOG) layer and a top resist layer. The SOG mask controls the etching amount of the
bottom resists in via holes.[12-14] To achieve the better patterned shape, a tri-layer consisting
of a top layer of thin resist for patterning, a SOG interlayer, and a spun-on carbon (SOC) film
bottom layer was introduced in DD schemes, called a stacked mask process (S-MAP).[15-18]
The SOC film has high carbon content and low oxygen content, and exhibits higher etching
resistivity than that of conventional resist films. Via-first DD formation with S-MAP
eliminates the undesirable SiO2 fence around the top of via holes. Similarly, multi-layer hard
masks with several inorganic films, such as SiO2/SiN/SiO2, on BARC and photoresist
coatings are used to create a stacked low-k film.[19-22] The capping layer of SiO2 reduces
plasma-induced damage and increases resistance in the CMP process.
- 7 -
2.4 SiOC for 65-nm node
In the 65-nm node, CVD SiOC (k = 2.5) was introduced for mass production. Cu capping of
CVD SiCN (k = 4.9) was used to fabricate the DD structure. Low damage to low-k materials
can be achieved by optimization of plasma etch and ash conditions.
For reducing the k value, atomic spaces, whose interior is exposed to hydrophobic
methyl groups, have been incorporated into SiOC films.[21] The empirically deduced order of
the difficulty of the dissociation in plasma is SiO-CH3 or SiO-CH2CH3 < Si-H < Si-CH3 <
SiO.[4] The skeleton precursors are mainly siloxanes. Cyclic skeleton precursors, such as
tetramethylcyclotetrasiloxane and octamethylcyclotetrasiloxane (OMCTS), are used for the
maintenance of atomically structured pores. It is difficult to incorporate porogens into cyclic
siloxane precursors.
For SiOC materials, a network construction of each skeletonized structure is required
for mechanical toughening. After coating, cross-linking reactions are initiated in various ways.
Low-k films can be cured using thermal annealing with ultraviolet (UV) or electron beam
(EB) irradiation to increase mechanical strength and improve reliability. These improvements
were evaluated using various methods.[23] The reliability of electromigration and time-to-
breakdown was improved without affecting transistor performance. Stress-induced voiding or
stress migration was also improved. The structures passed packaging tests such as the
temperature cycle test (TCT), pressure cooker test (PCT), temperature humidity bias, and
high-temperature storage.
2.5 Porous SiOC for 45-nm node and beyond
In the 45-nm node, atomic spaces, whose interior is exposed to hydrophobic methyl groups,
were expanded to nanoscale pores by introducing porogens or pre-synthesized structures.
Porous spin-on methyl siloxane (k = 2.3) and CVD SiOCH (k = 2.37) were introduced for
- 8 -
mass production. Cu capping of CVD porous SiCN (k = 3.5) was used to fabricate the DD
structure.
Porous materials were prepared by incorporating porogens during deposition and
annealed them out after deposition. Various precursors were tested in subtractive methods for
the fabrication of porous films and the deposition of SiCOH-CxHy using a single precursor
molecule consisting of a skeleton embedded (or grafted) with a porogen precursor.[4] The
skeleton precursor of diethoxymethylsilane (mDEOS) and the porogen precursor of
bicycloheptadiene, a-terpinene (ATRP), was used.[4,18] To remove porogens after deposition,
the films were cured with UV light to create pores and improve their mechanical
properties.[4]
Silica source monomers and some compounds were pre-mixed in a solvent and
hydrolyzed to make small clusters of silica source monomers surrounded by the compounds.
Sugiura et al. reported that the solution included silica clusters with several nanometer
orders.[24] Ito et al. reported the pore interconnectivity of porous nanoclustering silica films,
as measured by positronium time-of-flight spectroscopy.[25]
In addition, the EB curing of porous low-k materials improves mechanical strength.
However, plasma resistance is a serious issue for such materials. Therefore, restoration and
pore-sealing are necessary to improve plasma resistance. Continuous discussion is needed for
understanding of the mechanism and solving of the problems by technology in terms of
embedded porogens and carbosilane.[4]
- 9 -
3 Material bond engineering of fluorinated silica glass
3.1 Bond chemistry of water uptake in SiOF deposition
In 1993, Miyajima et al. deposited SiOF film using plasma-enhanced CVD (PECVD) with a
TEOS, O2, and CF4 mixture[7] and later a SiH4, SiF4, and N2O mixture.[26-29] Lee et al.
deposited SiOF films using SiH4, O2, and SiF4 mixtures by remote plasma CVD.[30] Homma
et al. deposited SiOF films using fluorotriethoxysilane (FTES or FTEOS; FSi(OC2H5)3) and
H2O.[31-35] SiOF film was deposited using SiF4 and O2 by Fukada et al.[36] and using a
TEOS, O2, and C2F6 mixture by Usami et al.[37-40] Kudo et al. reported that SiOF was
densified by deposition using a TEOS, O2, and C2F6 mixture.[41] Takeishi et al. reported that
post annealing in N2O plasma at 400 °C removes Si-OH.[42] Fluorine in the SiO2 network
structure could be introduced by application of plasma technology.
In the FT-IR spectra of PECVD SiOF, a peak at 980 cm1 arises from Si-F. X-ray
fluorescence revealed that the dielectric constant of SiOF films decreased linearly with
increasing F content, while the film density remained almost constant, as measured using the
Rutherford back scattering method. The reduction of k is caused by the incorporation of
chemical Si-F bonds rather than changes in the SiOF film density. Kakiuchida et al. also
showed that the F concentration decreased monotonously with the dielectric constant of F-
doped silica.[43]
The mechanism of the reduction of the dielectric constant of SiOF films is considered
to be as follows. Film dielectric constants can be described by the Debye equation of a
summation of polarizability of orientations, and electronic and nucleic displacements, as
given by:

 
 
 (2)
- 10 -
where N is the density of dipoles, αe is the electronic polarization, αd is the displacement
polarization, the third term in parenthesis is the orientation polarization related to the dipole
moment μ, kb is the Boltzmann constant, and T is the temperature.[5] The displacement
polarization can be written in terms of molecular polarizability based on the oscillations of
chemical bonds.[44] Nakasaki et al. presented the Si-F bonding structures in detail.[26,27]
Lucovsky et al. found that the formation of ionic bonding reduced the partial charge, which
contributed to the decrease in electronic polarization, while ionic bonding dipoles increased
displacement polarization.[45-47] This means that a balance between partial charge reduction
and ionic bond increase determines the dielectric constant via molecular bonding structures of
(SiO2)x(Si(F)OSi(F)O2)1-x. Lim et al. reported the IR spectra of SiOF.[48-51] Aydil et al.
reported details of the electronic and ionic contributions to the dielectric constant of
fluorinated SiO2 films deposited in SiF4 and O2 plasmas,[52,53] as determined using wide
range spectroscopic ellipsometry and infrared spectroscopy with isotopic substitution of 16O
to 18O.[54-60] Pankov et al. reported that the incorporation of fluorine into the SiOF network
during film deposition gradually increased the value of the average Si-O-Si angle, θ, from
138° to 153°, for SiOF films deposited using a SiF4/O2/H2/He mixture.[61,62] Nakasaki et al.
reported that this can be interpreted as F incorporation, rearranging the lowest energy of the
SiO2 conduction band to 0.4 eV lower offset. This changes the density of states, which
decreases the polarizability. Si-O-Si bond stretching vibration moves to a lower wavenumber,
decreasing ionic polarization.[26-28] Thus, the Si-F bonding structures should be placed on a
focus to monofluoride (Si-F), difluoride (>SiF2), and two adjacent monofluorides (>FSi-O-
SiF<).
The bonding structure can be controlled by plasma deposition conditions. Chang et al.
reported that a highly dense SiOF structure was obtained at a deposition temperature of higher
than 300 °C from a SiH4, O2, and CF4 mixture owing to a fluorination reaction[63] and Ar
- 11 -
electron cyclotron resonance.[64] Yun et al. deposited SiOF film using helicon plasma with a
FTES, O2, and Ar mixture,[65] a SiF4, O2, and Ar mixture at a pressure of below 10
mTorr,[66] and SiF4/O2 and FTES/O2.[66-69] Structural information on the water absorption
of SiOF deposited using inductively coupled plasma (ICP) from a SiH4, O2, and SiF4 mixture
was reported Tamura et al.[70] and Koyanagi et al.[71] High-purity CVD SiOF was obtained;
H and C impurities, which affect film density and chemical bond structure, were removed
using high-density plasma.
For SiOF, empirical polarizability reveals that the strong Si-O-Si group is replaced by
weak Si-F bonds.[72] Computational chemistry can be used to roughly estimate the dielectric
constant based on density and structural information from calculated electronic
polarizability.[73] The replacement of Si-O-Si groups by Si-F bonds reduces the dielectric
constant; however, the high reactivity of Si-F bonds with H2O molecules should be noted.
Despite the low-k properties of SiOF films, moisture uptake is a serious problem. Lee et al.
reported the moisture absorption properties of SiOF deposited using a SiF4/O2 mixture.[74]
The replacement of Si-F bonds by Si-OH bonds was found to occur with air exposure after
film deposition and plasma processes during the integration scheme in device manufacturing.
After air exposure for 30 days, moisture uptake became significant and the F content
exceeded 10%. Although the as-deposited SiOF films contained a lower number of Si-OH
bonds compared that for as-deposited SiO2 films, an increase in Si-OH and physically
adsorbed water molecules was observed from FT-IR measurements after air (relative
humidity: 40%; room temperature) exposure for 7 days. For FT-IR spectra obtained during air
exposure, Si-F stretching vibrations can be decomposed into three Gaussians with centers at
988, 950, and 920 cm1, respectively (Fig. 1). These originated from SiF2, SiF, and adjacent
SiF [(SiF)-O-(SiF)], respectively. The peaks of OH stretching vibrations are decomposed into
3670 cm1 for Si-OH and 3440 and 3230 cm1 for adsorbed water.
- 12 -
Figure 1. Typical FT-IR spectra for SiOF.[7] Copyright (2019) The Japan Society of Applied
Physics.
These peak intensities are plotted as a function of air exposure time in Fig. 2. Just after
air exposure, the SiF2 bonds at 988 cm1 suddenly decrease. SiF2 bonds completely
disappeared after air exposure for one day. The adjacent SiF subsequently decreased,
maintaining almost the initial concentration of the total SiF bonds. After the complete
elimination of the adjacent SiF bonds, the amount of adsorbed water rapidly increased. This
indicates that SiF2 reacted with H2O (SiF2 + H2O Si(F)OH + HF). Notably, the SiF bond
observed at 940 cm1 is not linked with the water absorption increase. This means that the as-
deposited SiOF films are first hydrolyzed at SiF2 sites, forming SiF and SiOH, while water
molecules are consumed by hydrolysis. Therefore, no significant increase in water absorption
takes place at Si-F sites.
As the F content in SiOF films increases, the conversion of SiF to SiF2 occurs and a
significant amount of adjacent SiF appears at an F content of around 12% (Fig. 3). In the
Raman scattering spectra of the SiOF films deposited using high-density plasma, three-
membered Si-O at 600 cm1 appear. The films with an F content of more than 12% have a
single peak for four-membered Si-O rings at 490 cm1, while the Si-O stretching vibration
peak saturated in blue-shift) at 1095 cm1 as shown in Fig. 4. The SiOF structure of the four-
membered ring of (SiOF)4 with fully relaxed Si-O-Si bond angles around 140° cannot
- 13 -
mitigate water uptake. In contrast, water uptake is mitigated when the SiOF structure is
packed by three-membered rings (SiOF)3. In view of the medium-range ordering of the
tetrahedral SiO network, the F content can be described by four tetrahedral SiO4 units;
(SiO2)3(SiOF) for 8% F, (SiO2)2(SiOF) for 10.5% F, (SiO2)3(SiOF)2 for 12.5% F, and
(SiO2)(SiOF) for 15.4% F. Empirically, SiF2 formation in SiOF films thus plays a key role in
water uptake (Fig. 5).
Figure 2. Moisture uptake and infrared absorptions of SiF, SiF2, and adjacent SiF.[7,27]
Copyright (2019) The Japan Society of Applied Physics.
Figure 3. Integrated intensity of deconvoluted Si-F bands as a function of F concentration in
as-deposited SiOF films by FT-IR[7,27] Copyright (2019) The Japan Society of Applied
Physics.
- 14 -
Figure 4. Wavenumber of Si-O bond-stretching as a function of F concentration in as-
deposited SiOF films by FT-IR[7] Copyright (2019) The Japan Society of Applied Physics.
Figure 5. Dependence of film's dielectric constant on F concentration.[5]
3.2 Bond engineering of SiF2 incorporation in SiOF films
The content of SiF2 can be controlled by the plasma conditions of CVD. The plasma
parameters of parallel-plate-type plasma, dual-frequency plasma, and high-density helicon-
wave plasma sources were measured using a double electrostatic probe. Table II shows the
deposition conditions and the properties of corresponding SiOF films. Deposition pressures
- 15 -
were 5.0, 5.0, and 0.005 Pa. Ion densities were 4.8, 4.4, and 8.0 109 cm3 and electron
temperatures were 3.4, 2.4, and 4.5 eV. Higher dissociation of O2 gas and large amounts of
O2+ and O+ ions contributed to SiOH reduction without SiF2 formation with the dual-
frequency (excitation frequency: 13 MHz; wafer-biasing frequency: 400 kHz) CCP reactor
controlled at a stage temperature of 400 °C.[7,26-29] Energy analyzing quadruple mass
spectrometry was used to determine the ion energy distribution function for oxygen molecules.
For the helicon-wave plasma, the O2 ion energy peak was located at around 40 eV; that for
conventional plasma is at less than 20 eV. H and C impurities in the SiOF films were
measured using secondary ion mass spectrometry. The SiOF films deposited using parallel-
plate-type plasma contained impurities at a concentration of 3×1021 cm3. The SiOF films
deposited using high-density helicon-wave plasma contained impurities at a concentration of
less than 1019 cm3. The SiOF films contained H impurities on the order of 1021 cm3.
Dangling bond densities were measured using electron spin resonance (ESR). ESR spectra
were decomposed into Si (g value = 2.0055) and C (g value = 2.0030) dangling bonds. For
SiOF deposited using parallel-plate-type plasma, the dangling bonds of Si and C were both on
the order of 1019 cm3. For SiOF deposited using high-density helicon-wave plasma, the
dangling bonds were on the order of less than 1017 cm3. It was found that the plasma
conditions can be used to remove contaminants such as H and C from the SiOF films;
however, they cannot be used to control the F content. Notably, moisture uptake was the
lowest for high-density plasma deposition. In particular, the SiOF films with F content of less
than 13% remained hydrophobic even after 7 days of air exposure. Notably, F content of
above 13% led to a rapid increase in the water absorption in the SiOF films. The atomic F
content in the SiOF films was measured using TDS. For SiOF deposited using parallel-plate-
type plasma, signals at 19 m/z F and 20 m/z HF were stronger than those for SiOF depositing
using high-density helicon-wave plasma. This difference reflects the gaseous F atom
- 16 -
concentration during deposition. In high-density plasma, low deposition pressure reduces F
atom concentration in the gas phase.
Table II. Deposition conditions and properties of correspoding SiOF films.[7]
Parallel plate
capacitive
coupled plasma
Dual frequency
(13 MHz/
400 kHz) plasma
High density
helicon wave
plasma
10.0
10.0
12.5
100
100
50
100
100
10
5.0
5.0
0.005
400
400
400
100
20/80
250
Plasma properties at wafer surface
4.8
4.4
8.0
3.4
2.4
4.5
0.5
0.5
9.5
Corresponding SiOF film properties
Impurity concentration (1019 cm3)
300
<0
<1
70
-
50
Dangling bond density (1017 cm3)
100
-
<1
100
-
<1
SiOF deposited using PECVD contains bonding structures such as monofluoride (
Si-F), difluoride (>SiF2), and two adjacent monofluorides (>FSi-O-SiF<), which can be
identified by FT-IR spectral peaks at 940, 988, and 920 cm1, respectively. The adjacent
(SiF)2 sites are the most reactive to water molecules. The hydrolytic conversion of these sites
to SiOH leads to the formation of sites for physically adsorbed water molecules, which
increase the dielectric constant. In state-of-the-art film deposition technology, F content of
more than 10% in SiOF films cannot protect the film from hydrolysis via the reaction sites of
SiF2 and the adjacent (SiF)2 rather than the SiF site. The interpretation of this phenomenon is
based on the Si-O-Si bonding network. F terminates one bond in the Si-O-Si network.
Hydrolysis via SiF + H2O SiOH + HF is unfavorable in the Si-O-Si network. The reaction
- 17 -
>SiF2 + H2O >Si(F)OH + HF plays an essential role in the hydrolysis of the network. Only
high-density plasma with high-flux ion-related reactions provides highly densified SiOF films
comprising a complete Si-O-Si network and Si-F termination without impurities such as H
and C, based on high energy ion reactions with high density plasma.
4 Material bond engineering of SiOC
4.1 Skelton structure of SiOC by EB curing
The introduction of a methyl group reduces the density of silicon-oxide-skeletonized
films.[75,76] When Si-O-Si ladders are terminated by a methyl group, the dielectric constant
decreases; however, the mechanical strength decreases significantly. Each skeletonized
structure should be connected to the other parts. To do this, the films can be cured to control
their properties.
Spin-on methylsilsesquioxane (MSQ) films are treated after coating to increase their
mechanical strength. The increase in the dielectric constant is minimized by using thermal
(EB or UV) curing. Martinez et al. conducted structural and compositional analyses of SiOC
films treated using UV and EB (2-3 keV) curing at 400°C.[77] Guedj et al. reported that EB
curing modified the SiOC films, resulting in Si-O-Si network formation due to the breakage
of Si-CH3 groups.[78] Wilks et al. analyzed the adhesion between Ta and SiOC using X-ray
photoelectron spectroscopy (XPS).[79] From XPS and four-point bending test results, they
concluded that EB curing led the removal of incomplete bonds such as Ta-O and C-O,
originating from some contaminant, and the formation of Ta-C and C-C bonds at the
interface.[79] Gan et al. reported that EB (50 keV) curing improved adhesion at
Ta/polyarylene ether interface as by [80]
- 18 -
Experimentally, thermal curing has been conducted at a stage temperature of 420 °C
for 60 min. EB curing improves low-k mechanical strength owing to cross-linking reactions.
Similar improvements are possible using UV curing. Considering the thermal budget for the
underlying transistors, EB curing has the advantages of lower process temperature and shorter
process time. EB curing was conducted with an acceleration voltage of 13 keV at a pressure
of 10 Torr in Ar ambient at a stage temperature of 350 °C for 3 min.[18,81,82] As the EB
dosage increased, the dielectric constant of MSQ films first decreased and then increased
while the modulus of the films constantly increased (Fig. 6).
Figure 6. EB dose dependence of dielectric constant and modulus of SiOC films.[81]
Solid-state 29Si nuclear magnetic resonance (SS-NMR) results showed a
transformation from the original ladder structure to a random structure. The original MSQ
consisted of SiO3CH3 and SiO(OH)CH3. EB curing led to the formation of SiO2HCH3,
SiO2(CH3)2, SiO3H, and SiO4 (Fig. 7).[83] Raman scattering spectra showed an MSQ ladder
and methyl siloxane for the as-coated MSQ films. After EB curing, 3- and 4-fold ring
structures were found (Fig. 7).[83] The skeleton precursor introduced the SiO3CH3 (T3)
structure, and EB curing added SiO4 (Q4) and SiO2(CH3)2 (D2) structures. As a result, the end-
on terminal groups in the matrix were cross-linked, forming the Si-O-Si network.
- 19 -
Figure 7. (a) SS-NMR and (b) Raman spectra of EB-cured SiOCH films.[83]
The elastic strength of thin films can be nondestructively measured using the Brillouin
light scattering method, where inelastic scattering of irradiating monochromatic laser light is
caused by interactions between photons and acoustic phonons. Thus, the measured phase
velocity of the scattering light can be used to determine the elastic parameters of the film
based on the film's known density.[84,85]
The molecular structure of SiOC films was theoretically exmained by Tajima et al.[86]
The periodic Si-O bonding network has crosslinks of a SiO2 unit with CH3 groups or H atoms.
The possible molecular structures were predicted and Young's modulus and dielectric
constants were estimated. They also calculated the predictive properties of structures that had
their end-on groups, such as CH3 and H, replaced with cross-links of C2H4 or CH2.[87] The
increase in mechanical strength in hydrocarbon crosslinks was higher than in O- bridges.
a)
b)
- 20 -
Extensive studies are continuing to be conducted in the views of material design, for instance,
written by Shimizu et al.[88]
As summarize above, EB curing generates free CH3 groups via the dissociation of Si-
C bonds. H2O reacts with Si dangling bonds to form Si-O-Si via hydrolytically formed Si-OH.
The curing removes C from C-doped films and the film changes to a SiO2-like film. This
point is discussed later.
4.2 Skelton precursor and porogen mixture in PECVD-SiOCH
First, we discuss SiOCH films without a porogen mixture. SiOCH films were prepared
using PECVD with chemistries of mDEOS or trimethylsilane (3MS), O2, and He at a stage
temperature of 350 °C at a pressure of 4.2 Torr. In the FT-IR spectra (Fig. 8), the SiCH3 peaks
can be decomposed into monomethyl Si-(CH3) at 1275 cm1 and dimethyl Si-(CH3)2 at 1265
cm1.[89] The ratio of 3MS to mDEOS determines plasma resistance. SS-NMR was used to
analyze the bonding structure (Fig. 9). In the 29Si NMR, prominent peaks arise from RSiO3
(T3). After EB curing, peaks of SiO4 (Q4) and R2SiO2 (D2) and small peaks of R3SiO,
RSi(H2)O, and R2Si(H)O appear. Oh et al. reported the FT-IR and NMR results for films
obtained using ICP CVD from a bistrimethylsilylmethane (BTMSM; [(CH3)3Si]2CH2) and O2
mixture.[90-92] Wei et al. reported the characteristics of low-k films deposited using PECVD
with 3MS and mDEOS as precursors, as determined using FT-IR, XPS, and 29Si NMR.[93]
Cross-linking reactions were found to form dimethyl Si-O-Si components in the SiOC film.
- 21 -
Figure 8. FT-IR spectra of SiOC films deposited with 3MS and mDEOS. Si-(CH3) and Si-
(CH3)2 are characterized.[89] Reprinted from Micro and Nano Engineering 3, 1 (2019).
Copyright (2019) Elsevier B.V. (CC BY-NC-ND 4.0).
Figure 9. SS-NMR spectra of SiOC films deposited with 3MS and mDEOS. Si-(CH3) and Si-
(CH3)2 are characterized.
The increase in the dimethyl group content with increasing 3MS ratio effectively
increases plasma resistance. After NH3 plasma treatment, the ratio of dimethyl to SiO is
maintained whereas the monomethyl ratio decreases. This indicates that monomethyl
components are selectively reduced by NH3 plasma treatment. Therefore, 3MS introduction
improves plasma resistance. TDS spectra show low adsorbed water for SiOCH deposited from
3MS and mDEOS mixture. The original pore diameter was 1.1 nm.[89]
Plasma-induced damage resistance can be improved via the chemical structure of
SiOCH films. NH3 plasma was used at a stage temperature of 350 °C and a pressure of 4.2
0.3
1240126012801300
Wavenumber (cm-1)
Absorbance (a.u.)
mDEOS
Precursor B
mDEOS
Precursor A
+ precursor B
0
0.1
0.2
5001500250035004500
1240126012801300
Wavenumber (cm-1)
+ 3MS
mDEOS mDEOS
+ 3MS
(I) (II)
CH3 Si
O O
CH3 Si O
CH3
(I)
(II)
O
O
0 -50 -100 -150
Chemical shift (ppm)
mDEOS/3MS base
mDEOS base
SiH3C OSi
CH3
CH3
*
SiSiO OSi
CH3
CH3
*
SiSiO OSi
CH3
O
*
Si
SiSiO OSi
H
O
*
Si
SiSiO OSi
O
O
*
Si
Si
D2T3Q4
Q3
M1
- 22 -
Torr to reduce the oxidized copper surface after the CMP process. After NH3 plasma
treatment, the dielectric constant increased, causing the plasma-induced removal of carbon in
the film. Large pore average diameters received large plasma-induced damages. Plasma
resistance significantly decreased with increasing film porosity.[89]
Vanstreels et al. reported that the porosity of films can be controlled by changing the
porogen loading during the deposition process, and that the matrix strength can be tuned by
UV wavelength; a narrow-band lamp (172 nm) and a broad-band lamp (> 200 nm) were
used.[94] Urbanowicz et al. investigated the photochemical UV-hardening mechanism on the
basis of experimental results obtained using either a narrow-band lamp (172 nm) or a broad-
band lamp (> 200 nm).[95] Manepalli et al. reported electron beam curing results for
polymeric dielectrics.[96] De Theije et al. reported a method for controlling hydrophobicity
by introducing surfactants as sacrificial materials in the SiOC matrix.[97]
According to FT-IR and NMR results, the dimethyl bonds of Si(CH3)2 in SiOC films
play an important role in the mitigation of plasma-induced damage,[89] as discussed in detail
in the next section.
5 Process optimizations and improvements
5.1 Plasma-induced damage
In porous SiOC films, higher C content increases plasma resistance but degrades mechanical
strength. Ryan et al. reported that films with higher C content exhibited less plasma damage
than similar films with lower carbon content. Films deposited with higher carbon content had
higher Si-CH3 and Si-(CH3)2 bonding.[98] Grill et al. reported experiments on two SiOC
films prepared with different levels of carbon content.[99] The high-carbon film (k = 2.21)
exhibited larger CH3 peaks in the 2800-3000 cm1 region and a larger Si-(CH3) peak at
- 23 -
1274 cm1 compared to those for the low-carbon film (k = 2.25). These results are consistent
with an increase in carbon content. The high-carbon film also displayed larger peaks at 800
cm1 [Si-(CH3)2], 838 cm1 [Si-(CH3)3 or Si-(CH3)2], and 892 cm1 (HSiO2), indicating that
it had more SiC and SiH bonds compared to the low-carbon film. The Si-(CH3) peak at
778 cm1 had nearly the same intensity for both types of film. Thus, the additional carbon was
partly due to the incorporation of Si-(CH3)2. The shoulder at 1262 cm1 was also indicative
of Si-(CH3)2. The high-carbon film had more cage-like SiO bonds at 9501200 cm1
compared to the low-carbon film.[99] This indicates that the SiOC film had pores or
atomically opened spaces. Volksen et al. found that the dielectric constant decreases with
increasing porosity. The pore sizes had a wide range, from the atomically porous regime to
the mesoporous one, and pore interconnectivity and volume were considerable.[100] The
increase in the surface area of the pore interior made the dielectric material sensitive to
plasma processes.[100]
For oxygen-containing plasma treatment, Ohtake et al. reported a via-first multi-hard-
mask process for the DD structure in porous SiOCH film with no exposure to O2-ashing
plasma.[19] In addition, they reported that nitrogen-based plasma with oxygen and high-
molecular-weight fluorocarbon gas (C > 2) chemically modifies the sidewall surface of the
etched low-k film.[20] To reduce oxidative effectiveness, Susa et al. used a CO2 plasma ash
process with a high ratio of CO2 ions to oxygen radicals.[101] Sun et al. reported that a short
exposure of SiOC low-k films to CO2 plasma created high surface hydrophilicity with limited
bulk modification because CO2+ ions oxidized the surface -CH3 groups to remove carbon
from the low-k film.[102] Kunnen et al. proposed a model that describes the propagation of
damage in porous low-k materials exposed to plasma.[103] Huang et al. reported that
oxidative-plasma-induced damage is proportional to the oxygen radical density and enhanced
by vacuum UV (VUV) photon radiation and ion-bombardment-induced surface densification,
which could limit further plasma damage.[104] Oxygen atom recombination and diffusion
- 24 -
play more dominant roles than that of VUV light. Kazi et al. argued that different damage
mechanisms, where VUV-induced damage is pronounced in the presence of O2 and follows
diffusion kinetics, whereas O-radical-induced damage is self-limiting after the formation of a
~1-nm SiO2-like surface overlayer.[105]
These experimental results are discussed using a comparson with theoretical and
computational results. The fundamental mechanism of the plasma-induced damage of SiOC
films in oxygen plasma was studied by Goldman et al.[106] Oxygen atoms penetrate and
diffuse into SiOC films, damaging SiOC via Si-OH formation. Ion bombardment enhances
the formation of a SiO2-like layer in O2 plasma.[107,108] Using the Vienna Ab initio
Simulation Package (VASP), Chaudhari et al. found that the lowest activation energy of Si-
CH3 bond scission and Si-O formation is 0.1 eV, resulting in the formation of H2O and Si-
OH.[109,110,111] Recently, Rimsza et al. performed a molecular dynamics simulation using
reactive force field (ReaxFF) and LAMMPS package and showed that Si-O-Si linkages
correlates with increasing Si-OH fraction and decreasing Q4 that porosity includes T3 and D2
units.[112] Similarly in NH3 plasma, vacuum UV radiation enhances Si-CH3 bond scission
and Si-NH2 formation but not C-N or O-N formation.[113,114,115]
In the integration scheme, the low-k films face etch gas chemistry containing fluorine.
Hayashi et al. applied etching plasma from an Ar/N2/CF4/O2 gas mixture to a stack of oxygen-
rich SiOC (k = 2.7) and porous SiOCH (k = 2.5). The large hydrocarbons attached to
hexagonal silica backbones in molecular-pore-stacked SiOCH prevent the oxidation of Si-
CH3 during the O2 plasma ash process, reducing the C-depleted damage area at the sidewalls
of via holes and trench lines.[116,117] Onda et al. reported the effects of post-etching
treatment in trench patterning and resputtering for barrier metal sputtering on low-k/Cu
interconnects for low-k molecular pore stacking. The optimized combination of post-etching
treatment and resputtering reduced wiring capacitance by 5% due to a well-controlled profile
that resulted from the hardening effect of the exposed porous material at the trench
- 25 -
bottom.[118,119] Yokogawa reported this failure analysis by using a framework based on
reliability physics with proposing adopted fault tree analysis.[120]
Kong et al. etched MSQ materials with CF4- and C4F8-based chemistries under various
process conditions.[121] Simple CF4/O2 chemistry minimized the damage of porous MSQ
during plasma etching. The addition of Ar or H2 increased damage. The highest damage levels
were observed with simultaneous Ar, H2, and N2 addition. The use of higher power and/or
higher pressure resulted in more etch-induced damage. Darnon et al. reported that NH3 and O2
plasmas induce carbon depletion and moisture uptake while CH4 plasma only leads to carbon
depletion without moisture uptake and the formation of a thin carbon layer on the
surface.[122] Bao et al. reported plasma-induced damage to low-k films in Ar, O2, N2, N2/H2,
and H2 plasmas in a standard RIE chamber and a downstream hybrid plasma source with
separate ions and atomic radicals.[123] Liu et al. reported that H atoms in N2/H2 plasma
processes react with carbon groups, leading to carbon removal from films.[124] Huang et al.
reported that plasma treatment with a mixture of NH3/N2 gas induced more moisture uptake
on the surface of a low-k dielectric.[125]
For reductive plasma treatment, such as H2, H2/N2, and NH3 plasma, Yamamoto et al.
proposed a mechanism of H2/N2 plasma ash damage of porous SiOCH films based on an
analysis using in situ spectroscopic ellipsometry, in situ FT-IR reflection absorption
spectroscopy, and ex situ XPS. Methyl extraction by H atoms was enhanced by light, while N
atoms inhibited the extraction of Si-CH3 bonds by forming a nitride layer.[126,127]
Subsequent air exposure increased the number of Si-OH bonds by modifying Si-O-Si
structures.[126] Therefore, plasma-emitted VUV light can break Si-CH3 and Si-O-Si bonds
and thereby generate dangling bonds. NxHy and H radicals can break Si-CH3 and Si-O-Si
bonds, and Si-NH2, Si-H, and Si-OH bonds can form. Si-NH2, Si-H, and dangling bonds react
with moisture in the air, leading to the formation of Si-OH bonds. The dehydration reaction
on Si-OH was found to be the origin of Si-O-Si network structures.[126] Urbanowicz et al.
- 26 -
reported that H2/He downstream plasma does not reduce the concentration of Si-CH3 bonds in
the low-k matrix and that the films remain hydrophobic; however, mass loss and reduction in
bulk C concentration were observed.[128] In addition, they found that H2 plasma controls the
decomposition of porogen molecules prior to UV curing.[129] Vinogradova et al. reported
that oxygen-ashed SiCOH films had negligible moisture adsorption due to pore sealing. N2/H2
plasma exposure for 60 s densified the surface layer of water.[130]
Ions significantly influence modification. Braginsky et al. reported that ions in He
plasma during film pretreatment led to a noticeable densification of the top surface layer, up
to complete sealing, which prevented O atoms from deeply penetrating the film bulk and
carbon extraction.[131] Lee et al. reported that the ion kinetic energy is an important
parameter for inhibiting O2-plasma-induced carbon loss in protective noble gas plasma
pretreatment.[108] The interconnection of pores and surface stuffed pores should be taken
into account in the mechanism of plasma-induced damage.
Using ab initio calculations, Mankelevich et al. reported that the F atom reacts with Si-
O bonds via a pentavalent Si state.[132,133,134] They proposed that fast fluorination initially
occurs without significant modification of the chemical structure and the pentavalent Si states
weaken adjacent Si-O bonds, leading to the breakage of Si-O bonds with successive removal
of CH3 and CH2 groups from SiOC films.[134]
In the integration process, in addition to damage suppression, restoration is used.
Plasma-damaged low-k films can be restored using various methods. An activated pore inner
surface was covered with self-assembled monolayers of propylene glycol monomethyl ether
acetate.[135] Razvanov et al. reported polymer grafting of pore sidewalls using polymethyl-
methacrylate (PMMA) and polystyrene (PS).[136] Zotovich et al. reported that polymer
grafting effectively protects films from attack by F radicals and VUV photons.[137] Uznanski
et al. reported the SiOC surface grafting of the activation sequence using remote hydrogen
microwave plasma CVD with 1,1,3,3 tetramethyldisiloxane and the coating of (3-
- 27 -
aminopropyl)triethoxysilane.[138] Guo et al. investigated the silylation process of inner pores
and hydrophobic coating at atomic layer levels.[139]
5.2 Resist poisoning
Next, we give examples of the importance of the interface and interference of different
processing technologies, such as photolithography and PECVD. After via hole formation, the
resist development for trench line patterns often fails due to poisoning. SiOC films adsorb
primarily NH3 and moisture. The adsorbed water reacts with SiCN to form amine in the
secondary process. These amine components cause resist poisoning failure.[18]
In the DD structure of the low-k dielectric SiOC and the capping layer SiCN and SiO2,
SiOC films were deposited using a capacitively coupled plasma CVD reactor with 3MS
SiH(CH3)3 and O2 at 4.5 Pa, and SiCN films were deposited with a 3MS and NH3 mixture at 3
Pa for SiCN films. The stage temperature was 350 °C.
The Cu barrier of SiCN contains Si-N and Si-NH2 bonds. SiOC films are permeable to
water. After deposition, plasma-exposed SiOC is damaged and adsorbs water molecules
during air exposure while a cap layer of SiO2 is deposited on the SiOC film according to the
reaction Si-CH3 + 2O2 Si-OH + CO2 + H2O. The etched via holes and trench lines have
SiOC at their sidewalls, which penetrates to absorb water molecules. During thermal
annealing processes in photoresist development, Si-NH2 groups in SiCN films react with
desorbed water molecules according to the hydrolysis reaction Si-NH2 + H2O Si-OH +
NH3. The ammonia molecules diffuse out via penetration in the permeable SiOC films. Then,
NH3 reacts with acids in the chemical amplified resists, causing development failure of the
photoresist patterns.
When SiOC films are deposited on SiCN films, the SiCN surface is exposed to the
pretreatment in the SiOC deposition step. The chemistries of the pretreatments were compared
- 28 -
among O2, He, and Ar. With O2 plasma, the SiCN surface is oxidized, forming a SiO2-like
layer via the removal of Si-CH3 groups. The results of auger electron spectroscopy with
sputtering depth profiling showed an oxidized layer with a thickness of approximately 10 nm
(Fig. 10).[140]
Figure 10. Cross-sectional SEM images of SiCN/SiOC interface after immersion in NH4F
solution for 5 s. Depth profiles of elements Si, C, N, and O for SiCN film after plasma
pretreatment determined using auger electron spectroscopic analysis.[140] Copyright (2019)
The Japan Society of Applied Physics.
For He and Ar plasma pretreatments, the surface was covered by a SiC-like layer. The
PECVD chamber was used in a parallel-plate-type reactor. Thus, this can be interpreted as
resputtering from the RF powered (cathode) electrode. The wafer was placed on the grounded
(anode) electrode. The counter electrode was covered by pre-coated SiOC film before
pretreatment. At the start of pretreatment, the coated SiOC was resputtered on the wafer
placed on the cathode electrode. In the resputtering process, pure He or Ar gas was introduced
while the gaseous oxygen concentration was maintained at a low level. This low-oxygen
condition led to the deposition of a low-oxygen-containing film, as explained by reactive
sputtering theory. O-depleted SiC-like film was coated on the SiCN film surface. The XPS
Ar plasma treatment
0 5 10 15
0
10
20
30
40
50
60
Sputter time (min)
Atomic concentration (%)
N
Si
SiO
OC
0 5 10 15
0
10
20
30
40
50
60
Sputter time (min)
Atomic concentration (%)
N
Si C
O
O2plasma treatment
SiCN
SiOC
SiCN
SiOC
- 29 -
results showed the formation of SiC. This thin SiC coating prevented the hydrolysis reaction
of the Si-NH2 groups.[140]
Resist poisoning is difficult to evaluate in the process development phase. To
efficiently evaluate poisoning, the test element groups (TEGs) of large via chains can be used.
In a TEG, the via chain patterns are surrounded by via-free patterns. The adsorbed water in
the SiOC films reacts with Si-NH2 groups to form NH3 gas. The generated NH3 starts to be
desorbed in the thermal annealing process in photoresist development. The desorbed NH3
penetrates the SiOC film again and is evacuated from the sidewalls of via-hole to via-hole and
partially deactivates photo-generated acids in the photoresists.[141,142] The amount of
desorbed NH3 from a via is determined by accumulation in the surroundings of the via-free
areas. Notably, resist poisoning occurs for a particular combination of SiOC and SiCN films
because of the large permeability and low plasma-induced damage resistance of SiOC and
SiCN.
Fukuda et al. reported the utility of oxygen-free low-k film and a longer time-
dependent dielectric breakdown lifetime for barrier-free Cu interconnects.[143] Nakahira et al.
prepared Cu-diffusion-barrier oxygen-free SiC films deposited with the precursor 1,1-divinyl-
silacyclopentane.[144] Usami et al. found that ultrathin nitrides improve film reliability. Post-
CMP cleaning was performed using reducing plasma at around 350 °C to remove surface
CuO. Subsequently, in a parallel-plate-type PECVD reactor, the ultrathin SiN film was
deposited using SiH4, NH3, and N2 gases. Then, C-rich SiCN, as an etch-stop, was deposited
in the same reactor using 3MS, NH3, and hydrocarbon gases.[145]
5.3 Pore-filling
Protecting the interior of pores is essential for the mitigation of plasma-induced damage. Frot
et al. proposed a protection and deprotection strategy for porous materials.[146] The shielding
- 30 -
of pores with an organic polymer was demonstrated by selective protection of porous
materials for the reduction of plasma damage during processing.[83] This protective effect
depends on both the polymer nature and level of porosity fill.[146] This process is called post
porosity plasma protection (P4) technology[6,147,148] or the pore stuffing method.[149] The
protection mechanism is attributed to reduced penetration of radicals such as fluorine and
oxygen and the resistance against VUV degradation due to a higher VUV absorption
coefficient compared to that for a Si-O based dielectric network.[149] However, the pore
stuffing protection (PMMA, molecular weight = 4000 g·mol1) against oxidizing radicals and
VUV photons was insufficient for porous low-k (k = 2.05) materials and no barrier Ta
diffusion appeared during barrier deposition by physical vapor deposition (PVD).[150]
Krishtab et al. prepared a spin-on low-k dielectric (k = 2.2) from a mixture of organosilica
esters and amphiphilic molecules as a template polyethylene oxide and a saturated
hydrocarbon chain.[151] Razvanov et al. grafted polymers of PMMA and PS for pore
sidewall protection.[136] Zhang et al. reported an integration scheme based on the
replacement of a sacrificial template of a low-k dielectric after all metallization process
steps.[152] After the removal of the plasma-damaged template, a spin-on type of porous low-
k dielectric (k = 2.31) is deposited onto the patterned metal lines.[152]
Huang et al. conducted graft copolymerization using a reaction of silacyclobutene
pendant groups on a PS polymer with disilacycobutene via a ring-opening reaction, forming
PS with polycarbosilane structures on side chains. The curing of the graft PS via a reaction of
Benzocyclobutene (BCB) resulted in polycarbosilane-modified styrene resins.[153] Koehler
et al. proposed an in situ restoration process to repair damaged porous low-k materials by
downstream plasma-assisted OMCTS and bis(dimethylamino)dimethylsilane.[154]
Here, we compare polyolefin and acrylic resin as fillers.[83] A sample was based on a
spin-on porous low-k material (JSR, LKD-6206, k = 2.3). After patterning using RIE and
metallization, a wet process or curing was used to remove the filled resins (Fig. 11). Acrylic
- 31 -
resin (JSR, MT-1000, average molecular weight = 1000) or Polyolefin resin (JSR, MT-0123,
average molecular weight = 1000) was used.
Figure 11. Schematic diagram of integration scheme that uses backfilling of pores.
The thermal gravimetric analysis (TGA) of the Acrylic and Polyolefin resins shows a
decomposition temperature of above 250 °C (Fig. 12).[83] This means that the thermal
annealing process can remove the Acrylic and Polyolefin resins from the base porous low-k
films.
Figure 12. TGA profile of Polyolefin resin (MT-1000) and Acrylic resin (MT-0123).
Temperature (ºC)
0100 300 400 500 600200
0
-20
-40
-60
-80
-100
Weight loss (%)
Ramp-up rate: 10ºC/min
under N2
MT-1000
(MW=1000)
MT-0123
(MW=1100)
- 32 -
To evaluate plasma-induced damage, blanket 100-nm low-k films (LKD-6206) were
coated using the spin-on method. UV curing was performed at 420°C and the backfilling of
the polyolefin or acrylic resin was conducted using soft baking. The plasma exposed to the
samples in the plasma condition for sequential via/trench RIEs with each 50 nm. The via hole
RIE mainly used gases that included C4F8, which was changed to CF4 for over-etching. At
each step, the dielectric constant of the sample was measured using the capacitance voltage
method with a mercury probe system. The results are shown in Fig. 13.[83] The initial
dielectric constant was 2.31. Just after RIE, k increased to a range of 2.45 to 2.76. The
backfilled samples had a k value of approximately 3.0. The k value was not affected by RIE.
To remove the pore filling, thermal annealing was performed at 420°C under vacuum ambient.
The k value of the completely restored films was lower than 2.5 by using pore refilling
technique with Polyolefin resin (MT-1000).
Auger electron spectroscopy depth profiles show less C depletion for the backfill
samples (Fig. 14).[83] After RIE, the methyl component of C was depleted in the region with
a depth of around 20 nm. The C depletion induced the formation of Si-OH. Water absorption
and hydrolysis reactions occurred, increasing k. The backfilling of pores during plasma
processes reduced the plasma-induced damage to low-k films.
- 33 -
Figure 13. Pore refilling using polyolefin (MT-1000) and acrylic resin (MT-0123) for spin-
on porous SiOC (MSQ) film. After RIE (3rd column) and thermal annealing (4th column), the
dielectric constant of the as-etched film was higher than 2.7. In constrast, the dielectric
constant of the films refilled with polyolefin was lower than 2.5 after post-etch annealing.[83]
Figure 14. XPS depth profiles of C (a) after refilling with polyolefin (MT-1000), (b) after
RIE of MT-1000, (c) after refilling with acrylic resin (MT-0123), and (d) after RIE of MT-
0123-refilled LKD-6202. [83]
3.20
3.00
2.80
2.60
2.40
2.20
2.00
k value
LKD-6206
LKD-6206/MT-0123
LKD-6206/MT-1000
2.31
2.31
2.31
3.14
2.80
2.77
2.94
2.90
2.76
2.62
2.45
Ref Refill on RIE Anneal
0100 200 300
10
20
30
40
50
depth (nm)
Carbon conc entration (at%)
LKD-6202 MT-0123 refill
Carbon profile by XPS
050 100 150
5
10
15
20
25
depth (nm)
Carbon concen tration (at%)
LKD-6202 (RIE + anneal)
MT-0123 refill
(RIE + anneal)
LKD-6202 (Original)
Carbon profile by XPS
(c)
(d)
0100 200 300
10
20
30
40
50
depth (nm)
Carbon concent ration (at%)
LKD-6202
MT-1000 refill
Carbon profile by XPS
050 100 150
5
10
15
20
25
depth (nm)
Carbon concent ration (at%)
LKD-6202 (RIE + anneal)
MT-1000 refill
(RIE + anneal)
LKD-6202 (Original)
Carbon profile by XPS
(a)
(b)
- 34 -
6 Challenges
For interconnect fabrication, the integration of processing must consider various interfaces,
such as metal/dielectric, Cu-cap barrier/low-k dielectric, and pores/humid air ambient.
Whenever a sequence of processes is performed, these interfaces should not be damaged. For
low-k materials in plasma etching processes, the reduction of water uptake and protection
from processing gas penetration are necessary. Most induced damage originates from these
adsorbed gases.
At each level, solutions should be sought using material engineering, processing
optimization, controlling interfaces, and emerging process-integration. The important
requirements are critical dimension control, photolithographic pattern development, no film
delamination during CMP, no crack formation of multiple stacked layers, no dielectric
constant increase, no current leakage of intra metal lines, and no failure during packaging.
Every challenge for low-k film integration is originating in the properties of the low-k
dielectric, such as low plasma process resistance, high water uptake, large gas penetration,
high metal diffusivity, low mechanical strength, and low adhesive strength.
The bond engineering of dielectrics with a Si-O skeleton was discussed for SiOF and
SiOC films. For SiOF films, Kim et al. reported that the frequency of the Si-O stretching
vibration mode in SiOF film shifted to a higher wavenumber (blue shift) with increasing
fluorine incorporation, while that in SiOC film shifted to a lower wavenumber (red shift) with
increasing carbon content.[155] Kanezashi et al. fabricated fluorine-doped organosilica
membranes for gas/liquid separation via a sol-gel method using NH4F and
bis(triethoxysilyl)methane. Fluorine doping led to Si-F and C-F (covalent and semi-ionic,
respectively) bonds in the organosilica network. Fluorine doping eliminated the formation of
Si-OH groups and suppressed the hydrolytic calcination of Si-OH groups.[151] Both fluorine
- 35 -
and methyl group doping enlarge the network pore size of organosilica. Liu et al. determined
the chemical composition, pore structure, and mechanical properties of porous low-k films
with various concentrations of terminal methyl groups.[152] They found that methyl groups
degrade the Young's modulus of organosilica films, indicating lower mechanical properties,
because the pore size increases with the concentration of methyl groups and pores change
from cylindrical to ink-bottle shaped.[152] Si-CH3 peak shift reflects a change in the dπ–pπ
hybridization in SiOSi bonds, which is affected by the presence of the CH3 group.[152]
This property can be predicted using computational approaches. Uera et al. predicted the
mechanical strength of a low-k material using the molecular orbital method. The low-k film
was deposited using co-oligomers of tricyclo[6.2.0.03,6]deca-1(8),2,6-triene and
divinylbenzene.[153] For amorphous SiC films, material properties have been analyzed using
bond constraint and percolation theory, as reported by King et al.[154,155] Bond constraint
theory can be used to determine chemical bond coordination: for instance, SiC is represented
by four-fold coordination. With the replacement of cross linkages with bond-terminal groups,
the coordination number decreases. In hydrogenated SiOC, the critical coordination is 2.4 at
minimum under pore interconnectivity, as determined using percolation theory.[154] This
means that H incorporation affects in SiC and SiO:H films.[156] The coordination and
interconnectivity parameters can be used for the prediction of the mechanical properties of
films.[154] Bhattarai et al. recently reported that the mean atomic coordination, <r>,
determines the Young's modulus of SiOCH films, E, expressed as         
,
where <r>c is the critical coordination of 2.4 for hydrogenated group IV materials such as
SiOCH, and 4.0 for SiC and SiO2.[157] The network connectivity thus determines Young's
modulus in proportion to a power law with exponent 1.5.[158] This may allow the prediction
of physical sputtering yields and reactive ion etching rates.[155,157,159]
Silicate network structures consist of bonds and edges of atoms. Hiraoka et al.
presented the hierarchical structure of amorphous solids, such as silicate glass, as an example
- 36 -
of a continuous random network of SiO4 tetrahedrons and a random packing structure.[160]
The ordering of the network was analyzed using persistent homology, which can be used for
capturing the shapes of multiple-scale atomic configurations.[160] Unfortunately, there are no
atomic configuration data for low-k dielectrics, and thus topological features are difficult to
prepare experimentally. However, research has been conducted on the metal-organic
framework and the covalent organic framework, which consists of crystalline arrays or
clusters of coordination and cross-linked molecules. Brouwer et al. applied the graph theory
approach to network structure analysis using 29Si solid-state two-dimensional (2D) NMR data.
The 2D correlation in 2D NMR spectra can be used to probe nearest neighbor interactions. 2D
NMR correlation can be used to probe Si-O-Si bonding networks in a framework. Oxygen
bridges to form Si-O-Si linkages.[161] These vertices are connected by edges, which are
represented as a graph.[162] O'Keeffe et al. summarized three-periodic nets.[163] Analogous
to network theory, low-k materials are considered with the simplest model. Pure SiO2 has a
continuous random network of tetrahedral SiO4 units with Td symmetry. Polymorphs of
silicate include α-quartz, β-cristobalite, α-tridymite, coesite, tridymite, and cristobalite.[164]
The tetrahedral unit has four vortexes. Here, assuming that the pure silica network consisting
of the Q4 unit is replaced with the T3 or D2 unit, the bridging Si-O-Si bond is replaced by F or
CH3; for one vortex, a pyramidal tetrahedron unit has trigonal symmetry C3V, and for two
vortexes, an angular or bend unit has twofold symmetry C2V. A graph of these tetrahedrons,
pyramidal triangles, and bends represents the chemical network for Si-O-Si-based materials.
Note to be not crystalline, periodic connectivity in short range expressed as the quotient
graph.[161,165,166] From IR and Raman data, a fully relaxed network with bond angles of
close to 144° for quartz was observed. This means that six tetrahedrons shared each vortex to
form a six-member ring structure. In films deposited using high-density plasma, energetic ion
bombardment provides a reaction field at non-equilibrium conditions, and thus 3- and 4-
member rings, such as coesite, are observed with disordered units when approaching from
- 37 -
SiO4 to SiO6.[167] In the graph representation, as a result of the replacement of Q4 with T3
and D2, one T3 replacement brings to loss one vortex sharing of four tetrahedrons. This means
that a compressed Q4 network is barely replaced with randomly distributed T3 incorporation in
the network. D2 replacement leads to similar results. Notably, D2 can serve as a bent structure
that bridges the Q4 network with shortcut of one interconnection. This structure tends to form
without opening edges. Therefore, skeletonized structures are effectively constructed in a D2
and Q4 mixed network. Hydrolytically reactive F provides water absorption sites; however,
hydrophobic CH3 groups repulse water. Both high mechanical strength and large pore
hydrophobicity of organosilica are possible to create by controlling D2 formation.
7 Concluding remarks
This review gave the historical background of low-k materials used in high-speed logic
devices in the semiconductor industry. Plasma processing for deposition and etching is
essential for miniaturizing device dimensions. Challenges include the mitigation of plasma-
induced damage to low-k films. Hydrophilicity is the main cause of water absorption, which
increases the dielectric constant. State-of-the-art technology can be used to introduce
hydrophobic CH3 groups and pores into the Si-O skeleton network. Empirically, the
relationship of bond ordering, such as Si-CH3 and =Si-(CH3)2, toward sensitivity of
receiving the plasma-induce damage was demonstrated. However, because pore interiors are
exposed during plasma treatment, carbon removal is essential. Thus, pore refilling is useful
for protecting film from plasma-induced damage. As a consequence, integrations and plasma
processing is minimized further plasma-induced damage, on the basis of physics and
chemistry of material and plasma technologies.
- 38 -
Acknowledgements: The authors would like to thank Dr. Haruo Okano, Dr. Nobuo Hayasaka,
Dr. Hideki Shibata, and Dr. Hitoshi Ito for fruitful discussions.
Received: ((will be filled in by the editorial staff)); Revised: ((will be filled in by the editorial
staff)); Published online: ((please add journal code and manuscript number, e.g., DOI:
10.1002/ppap.201100001))
Keywords: Plasma enhanced chemical vapor deposition (PE-CVD) Low-k, fluorosilicate
glass (SiOF, FSG), Carbon-doped silicon oxide (SiOC), Curing by ultraviolet (UV) or
electron beam (EB) of porous materials, Plasma induced damage and moisture uptake in
semiconductor back end of line (BEOL)
[1] Wikipedia Skylake (microarchitecture) last accessed January 20, 2019.
[2] A. Grill, S. M. Gates, T. E. Ryan, S. V. Nguyen, and D. Priyadarshini, Appl. Phys. Rev.
2014, 1, 011306.
[3] M. R. Baklanov, J-F. de Marneffe, D. Shamiryan, A. M. Urbanowicz, H. Shi, T. V.
Rakhimova, H. Huang, and P. S. Ho, J. Appl. Phys. 2013, 113, 041101.
[4] A. Grill, J. Vac. Sci. Technol. B 2016, 34, 020801.
[5] H. Miyajima, K. Watanabe, K. Fujita, S. Ito, K. Tabuchi, T. Shimayama, K. Akiyama, T.
Hachiya, K. Higashi, N. Nakamura, A. Kajita, N. Matsunaga, Y. Enomoto, R. Kanamura,
M. Inohara, K. Honda, H. Kamijo, R. Nakata, H. Yano, N. Hayasaka, T. Hasegawa, S.
Kadomura, H. Shibata, and T. Yoda, Tech. Dig. International Electron Device Meeting
(IEDM), 2004, 329.
[6] W. Volksen, R. D. Miller, and G. Dubois, Chem. Rev. 2010, 110, 56.
[7] H. Miyajima, R. Katsumata, Y. Nakasaki, Y. Nishiyama, and N. Hayasaka, Japan. J. Appl.
Phys. 1996, 35, 6217.
- 39 -
[8] T. Usui, H. Miyajima, H. Masuda, K. Tabuchi, K. Watanabe, T. Hasegawa, and H. Shibata,
Japan. J. Appl. Phys. 2006, 45, 1570.
[9] H. Yanazawa, T. Fukuda, Y. Uchida, and I. Katou, Surf. Sci 2004, 566/568, 566.
[10] T. Fukuda, H. Nishino, H. Yanazawa, Japan. J. Appl. Phys. 2004, 43, 86.
[11] T. Fukuda, Japan. J. Appl. Phys. 2007, 46, 1404.
[12] S. Seta, Y. Yoshida, R. Nakata, and T. Ohiwa, Japan. J. Appl. Phys. 2001, 40, 7077.
[13] S. Takei, Japan. J. Appl. Phys. 2008, 47, 8766.
[14] S. Takei, Y. Sakaida, K. Ishii, and T. Shinjo, Japan. J. Appl. Phys. 2008, 47, 3412.
[15] J. Abe, H. Hayashi, D. Kishigami, Y. Sato, E. Shiobara, T. Shibata, Y. Onishi, and T.
Ohiwa, Japan. J. Appl. Phys. 2003, 42, 6605.
[16] I. Sakai, J. Abe, H. Hayashi, Y. Taniguchi, H. Kato, Y. Onishi, and T. Ohiwa , Japan. J.
Appl. Phys. 2007, 46, 4286.
[17] K. Kikutani, T. Ohashi, A. Kojima, I. Sakai, J. Abe, H. Hayashi, A. Ui, and T. Ohiwa,
Japan. J. Appl. Phys. 2008, 47, 8026.
[18] T. Yoda, and H. Miyajima, Advanced BEOL Technology Overview, In Advanced
Nanoscale ULSI Interconnects: Fundamentals and Applications, Springer, 2009 p. 275.
[19] H. Ohtake, M. Tagami, M. Tada, M. Ueki, M. Abe, F. Ito, and Y. Hayashi, IEEE Trans.
Semicond. Manufact. 2006, 19, 455.
[20] H. Ohtake,S. Saito, M. Tada, T. Onodera, and Y. Hayashi, IEEE Trans. Semicond.
Manufact. 2005, 18, 672.
[21] Y. Hayashi, Processes with Hard Masks (HM), In Advanced Nanoscale ULSI
Interconnects: Fundamentals and Applications, Springer, 2009.
[22] Y. Hayashi, Low-k Dielectrics, In Advanced nanoscale ULSI interconnects:
fundamentals and applications, Springer, New York, USA 2009.
[23] C. K. Goldberg, and V. N. Wang, Compatibilities of dielectric films, In Interlayer
Dielectrics for Semiconductor Technologies, Elsevier, 2003. p.77
- 40 -
[24] I. Sugiura, N. Misawa, S. Otsuka, N. Nishikawa, Y. Iba, F. Sugimoto, Y. Setta, H. Sakai,
Y. Koura, K. Nakano, T. Karasawa, Y. Ohkura, T. Kouno, H. Watatani, Y. Nakata, Y.
Mizushima, T. Suzuki, H. Kitada, N. Shimizu, S. Nakai, M. Nakaishi, S. Fukuyama, T.
Nakamura, E. Yano, M. Miyajima, and K.Watanabe, Micoroelectron. Eng. 2005, 82, 380.
[25] K. Ito, R-S. Yu, K. Sato, K. Hirata, Y. Kobayashi, T. Kurihara, M. Egami, H. Arao, A.
Nakashima, and M. Komatsu, J. Appl. Phys. 2005, 98, 094307.
[26] Y. Nakasaki, H. Miyajima, R. Katsumata, and N. Hayasaka, Japan. J. Appl. Phys. 1997,
36, 2533.
[27] Y. Nakasaki, H. Miyajima, R. Katsumata, and N. Hayasaka, Japan. J. Appl. Phys. 1997,
36, 2545.
[28] Y. Nakasaki, H. Miyajima, R. Katsumata, and N. Hayasaka, Japan. J. Appl. Phys. 1997,
36, 5259.
[29] T. Yoda, K. Fujita, H. Miyajima, R. Nakata, Y. Nishiyama, Y. Nakasaki, and N.
Hayasaka, Japan. J. Appl. Phys. 2004, 43, 5984.
[30] S. M. Lee, M. Park, K. C. Park, J. T. Bark, and J. Jang, Japan. J. Appl. Phys. 1996, 35,
1579.
[31] T. Homma, Y. Murao, and R. Yamaguchi, J. Electrochem. Soc. 1993, 140, 3599.
[32] T. Homma, R. Yamaguchi, and Y. Murao, J. Electrochem. Soc. 1993, 140, 687.
[33] T. Homma, and Y. Murao, J. Electrochem. Soc. 1993, 140, 2046.
[34] T. Homma, J. Electrochem. Soc. 1996, 143, 707.
[35] T. Homma, J. Electrochem. Soc., 1996, 143, 1084.
[36] T. Fukada, and T. Akahori, Ext. Abstr. 1993 Solid State Devices and Materials, Chiba,
1993, p. 158.
[37] T. Usami, K. Shimokawa, and M. Yoshimaru, Japan. J. Appl. Phys. 1994, 33, 408.
[38] T. Usami, and M. Yoshimaru, Japan. J. Appl. Phys. 1995, 33, 408.
[39] M. Yoshimaru, S. Koizumi, and K. Shimokawa, J. Vac. Sci. Technol. A 1997, 15, 2908.
- 41 -
[40] M. Yoshimaru, S. Koizumi, and K. Shimokawa, J. Vac. Sci. Technol. A 1997, 15, 2915.
[41] H. Kudo, R. Shinohara, S. Takeishi, N. Awaji, and M. Yamada, Japan. J. Appl. Phys.
1996, 35, 1583.
[42] S. Takeishi, H. Kudo, R. Shinohara, A. Tsukune, Y. Satoh, H. Harada, M. Yamada, J.
Electrochem. Soc. 1996, 143, 381.
[43] H. Kakiuchida, N. Shimodaira, E. H. Sekiya, K. Saito, and A. J. Ikushima, Appl. Phys.
Lett. 2005, 86, 161907.
[44] T. Fukuda, N. Aoi, and H. Matsunaga, Japan. J. Appl. Phys. 2002, 41, L307.
[45] G. Lucovsky, and H. Yang, Proc. MRS 443, 111, 1997.
[46] G. Lucovsky, and H. Yang, Japan. J. Appl. Phys., 1997, 36, 1368.
[47] H. Yang and G. Lucovsky, J. Vac. Sci. Technol. A 1998, 16, 1525.
[48] S. W. Lim, M. Miyata, T. Naito, Y. Shimogaki, Y. Nakano, K. Tada, and H. Komiyama,
Proc. MRS 443, 143, 1997.
[49] S. W. Lim, Y. Shimogaki, Y. Nakano, K. Tada, and H. Komiyama, Appl. Phys. Lett.
1996, 68, 832.
[50] S. W. Lim, Y. Shimogaki, Y. Nakano, K. Tada, and H. Komiyama, Japan. J. Appl. Phys.
1996, 35, 1468.
[51] S. W. Lim, Y. Shimogaki, Y. Nakano, K. Tada, and H. Komiyama, J. Electrochem. Soc.
1997, 144, 2531.
[52] S. M. Han, and E. S. Aydil, J. Vac. Sci. Technol. A 1997, 15, 2893.
[53] S. M. Han, and E. S. Aydil, J. Appl. Phys. 1998, 83, 2172.
[54] A. D. Bailey, and R. A. Gottscho, J. Appl. Phys. 1995, 34, 2172.
[55] S. C. Deshmukh and E. S. Aydil, J. Vac. Sci. Technol. A 1995, 13, 2355.
[56] S. C. Deshmukh and E. S. Aydil, J. Vac. Sci. Technol. A 1996, 14, 738.
[57] S. M. Han and E. S. Aydil, J. Vac. Sci. Technol. A 1996, 14, 2062.
[58] S. M. Han and E. S. Aydil, Thin Solid Films 1996, 290/291, 427.
- 42 -
[59] S. M. Han and E. S. Aydil, Appl. Phys. Lett. 1997, 70, 3269.
[60] S. M. Han and E. S. Aydil, J. Electrochem. Soc. 1997, 144, 3963.
[61] V. Pankov, J. C. Alonso, and A. Ortiz, J. Vac. Sci. Technol. A 1999, 17, 3166.
[62] V. Pankov, J. C. Alonso, and A. Ortiz, J. Appl. Phys. 1999, 86, 275.
[63] K. M. Chang, S. W. Wang, C. J. Wu, T. H. Yeh, C. H. Li, and J. Y. Yang, Appl. Phys.
Lett. 1996, 69, 1238.
[64] K. M. Chang, S. W. Wang, C. H. Li, T. H. Yeh, and J. Y. Yang, Appl. Phys. Lett. 1997,
70, 255.
[65] S. M. Yun, H. Y. Chang, K. M. Lee, D.C. Kim, and C. K. Choi, J. Electrochem. Soc.
1998, 145, 2576.
[66] J. H. Kim, S. H. See, S. M. Yun, H. Y. Chang, K. M. Lee, and C. K. Choi, Appl. Phys.
Lett. 1996, 68, 1507.
[67] S. M. Yun, H. Y. Chang, K. S. Oh, and C. K. Choi, Japan. J. Appl. Phys. 1999, 38, 4531.
[68] S. M. Yun, H. Y. Chang, M. S. Kang, and C. K. Choi, Thin Solid Films 1999, 341, 109.
[69] K. S. Oh, M. S. Kang, K. M. Lee, D. S. Kim, C. K. Choi, S. M. Yun, H. Y. Chang, and K.
H. Kim, Thin Solid Films 1999, 345, 45.
[70] T. Tamura, J. Sakai, Y. Inoue, M. Satoh, and H. Yoshitaka, Japan. J. Appl. Phys. 1998,
37, 2411.
[71] K. Koyanagi, K. Kishimoto, T-C. Huo, A. Matsumoto, N. Okada, N. Sumihiro, and H.
Gomi, Japan. J. Appl. Phys. 2000, 39, 1091.
[72] K. Endo, K. Kishimoto, Y. Matsubara, and Y. Koyanagi, Plasma enhanced chemical
vapor deposition of FSG and a-C:F low-k materials, In Low dielectric constant materials
for IC applications, Springer, 2012
[73] Y. H. Kim, M-S. Hwang, H. J. Kim, Y. Lee and J. Y. Kim, J. Appl. Phys. 2001, 90, 3367.
[74] S. Lee, and J-W. Park, Mater. Chem. Phys. 1998, 53, 150.
- 43 -
[75] T. Usui, H. Miyajima, H. Masuda, K. Tabuchi, K. Watanabe, T. Hasegawa, and H.
Shibata, Japan. J. Appl. Phys. 2006, 45, 1570.
[76] M. Tagami, A. Ogino, H. Miyajima, H. Shobha, F. H. Baumann, F. Ito, and T. Spooner,
ECS. Trans. 2011, 41, 405.
[77] E. Martinez, N. Rochat, C. Guedj, C. Licitra, G. Imbert, and Y. Le Friec, J. Appl. Phys.
2006, 100, 124106.
[78] C. Guedj, G. Imbert, E. Martinez, C. Licitra, N. Rochat, and V. Arnal, Microelectronics
Reliability 2007, 47, 764.
[79] J. A. Wilks, N. P. Magtoto, J. A. Kelber, and V. Arunachalam, Appl. Surf. Sci. 2007, 253,
6176.
[80] Z. Gan, S. G. Mhalsalkar, Z. Chen, Z. Chen, K. Prasad, S. Zhang, M. Damayanti, and N.
Jiang, J. Electrochem. Soc. 2006, 153, G30.
[81] T. Yoda, K. Fujita, H. Miyajima, R. Nakata, N. Miyashita, and N. Hayasaka, Japan. J.
Appl. Phys. 2005, 44, 3872.
[82] T. Yoda, Y. Nakasaki, H. Hashimoto, K. Fujita, H. Miyajima, M. Shimada, R. Nakata, N.
Kaji, and N. Hayasaka, Japan. J. Appl. Phys. 2005, 44, 75.
[83] H. Miyajima, Doctorial dissertation Nagoya University, Japan, 2019.
[84] G. Carlottia, N. Chérault, N. Casanova, C. Goldberg, and G. Socino, Thin Solid Film.
2005, 493, 175.
[85] N. Chérault, G. Carlotti, N. Casanova, P. Gergaud, C. Goldberg, O. Thomas, and M.
Verdier, Microelectronic Eng. 2005, 82, 368.
[86] N. Tajima, T. Ohno, T. Hamada, K. Yoneda, N. Kobayashi, S. Hasaka, and M. Inoue,
Appl. Phys. Lett. 2006, 89, 061907.
[87] N. Tajima, T. Ohno, T. Hamada, K. Yoneda, S. Kondo, N. Kobayashi, M. Shinriki, Y.
Inaishi, K. Miyazawa, K. Sakota, S. Hasaka, and M. Inoue, Japan. J. Appl. Phys. 2007, 46,
5970.
- 44 -
[88] H. Shimizu, S. Nagano, A. Uedono, N. Tajima, T. Momose, and Y. Shimogaki, Science
and Technology of Advanced Materials 2013, 14, 055005.
[89] H. Miyajima, K. Ishikawa, M. Sekine, and M. Hori, Micro Nano Eng. 2019, 3, 1.
[90] T. Oh, and K. M. Lee, Japan. J. Appl. Phys. 2003, 42, 1517.
[91] T. Oh, H. S. Kim, and S. B. Oh, Japan. J. Appl. Phys. 2003, 42, 6292.
[92] T. Oh, K. S. Kim, and K. M. Lee, Japan. J. Appl. Phys. 2004, 43, 6319.
[93] B. Wei, J. Vac. Sci. Technol. A 2011, 29, 041507.
[94] K. Vanstreels, C. Wu, P. Verdonck, and M. R. Baklanov, Appl. Phys. Lett. 2012, 101,
123109.
[95] A. Urbanowicz, J. Vac. Sci. Technol. B 2011, 29, 032201.
[96] R. Manepalli, K. D. Farnsworth, S. A. B. Allen, and P. A. Kohl, Electrochem. Solid State
Lett. 2000, 3, 228.
[97] F. K. de Theije, A. Ruud Balkenende, M. A. Verheijen, M. R. Baklanov, K. P.
Mogilnikov, and Y. Furukawa, J. Phys. Chem. B 2003, 107, 4280.
[98] E. T. Ryan, S. M. Gates, A. Grill, S. Molis, P. Flaitz, J. Arnold, M. Sankarapandian, S. A.
Cohen, Y. Ostrovski, and C. Dimitrakopoulos, J. Appl. Phys. 2008, 104, 094109.
[99] A. Grill and D. A. Neumayer, J. Appl. Phys. 2003, 94, 6697.
[100] W. Volksen, K. Lionti, T. Magbitang and G. Dubois, Scripta Materialia 2014, 74, 19.
[101] Y. Susa, H. Ohtake, J. P. Zhao, L. Chen, and T. Nozawa, J. Vac. Sci. Technol. A 2015,
33, 061307.
[102] Y. T. Sun, M. Krishtab, Y. Mankelevich, L. P. Zhang, S. De Feyter, M. Baklanov, and
S. Armini, Appl. Phys. Lett. 2016, 108, 262902.
[103] E. Kunnen, G.T. Barkema, C. Maes, D. Shamiryan, and M. R. Baklanov, Microelectron.
Eng. 2011, 88, 631.
[104] J. Huang, T-C. Bo, W-Y. Chang, Y-M. Chang, J. Leu, and Y-L. Cheng, J. Vac. Sci.
Technol. A 2014, 32, 031505.
- 45 -
[105] H. Kazi, and J. A. Kelber, J. Vac. Sci. Technol. A 2014, 32, 021302.
[106] M. A. Goldman, D. B. Graves, G. A. Antonelli, S. P. Behera, and J. A. Kelber, J. Appl.
Phys. 2009, 106, 013311.
[107] S. P. Behera, Q. Wang, and J. A Kelber, J. Phys. D: Appl. Phys. 2011, 44, 155204.
[108] J. Lee, H. Kazi, S. Gaddem, J. A. Kelber, and G. B. Graves, J. Vac. Sci. Technol. A
2013, 31, 041303.
[109] M. Chaudhari, J. Du, S. Behera, S. Manandhar, S. Gaddam, and J. Kelber, Appl. Phys.
Lett. 2009, 94, 204102.
[110] M. Chaudhari, and J. Du, J. Vac. Sci. Technol. A 2012, 30, 061302.
[111] J. M. Rimsza, and J. Du, Comp. Mater. Sci. 2015, 110, 287.
[112] J. M. Rimsza, L. Deng, and J. Du, J. Non-Cryst. Solid. 2016, 431, 103.
[113] S. Behera, J. Lee, S. Gaddam, S. Pokharel, J. Wilks, F. Pasquale, D. Graves, and J. A.
Kelber, Appl. Phys. Lett. 2010, 97, 034104.
[114] J. M. Rimsza, J. A. Kelber, and J. Du, J. Phys. D: Appl. Phys. 2014, 47, 335204.
[115] H. Kazi, J. Rimsza, J. Du, J. Kelber, J. Vac. Sci. Techno. A 2014, 32, 051301.
[116] Y. Hayashi, H. Ohtake, J. Kawahara, M. Tada, S. Saito, N. Inoue, F. Ito, M. Tagami, M.
Ueki, N. Furutaka, T. Takeuchi, H. Yamanoto, and M. Abe, IEEE Trans. Semicond.
Manufact. 2008, 21, 469.
[117] I. Kume, M. Ueki, N. Inoue, J. Kawahara, N. Ikarashi, N. Furutaka, S. Saitoh, and Y.
Hayashi, Japan. J. Appl. Phys. 2011, 50, 04DB02.
[118] D. Oshida, I. Kume, H. Katsuyama, T. Taiji, T. Maruyama, M. Ueki, N. Inoue, M.
Iguchi, K. Fujii, N. Oda, and M. Sakurai, Japan. J. Appl. Phys. 2011, 50, 05EB04.
[119] D. Oshida, I. Kume, H. Katsuyama, M. Ueki, M. Iguchi, S. Yokogawa, N. Inoue, N.
Oda, and M. Sakurai, Microelectron. Eng. 2014, 118, 72.
[120] S. Yokogawa, and K. Kunii, Japan. J. Appl. Phys. 2018, 57, 07MG01.
- 46 -
[121] B. Kong, T. Choi, S. Sirard, D. J. Kim, and N-E. Lee, J. Vac. Sci. Technol. A 2007, 25,
986.
[122] M. Darnon, T. Chevolleau, T. David, N. Posseme, J. Ducote, C. Licitra, L. Vallier, O.
Joubert, and J. Torres, J. Vac. Sci. Technol. B 2008, 26, 1964.
[123] J. Bao, H. Shi, J. Liu, H. Huang, P. S. Ho, M. D. Goodner, M. Moinpour, and G. M.
Kloster, J. Vac. Sci. Technol. B 2008, 26, 219.
[124] X. Liu, S. Gill, F. Tang, S. W. King, and R. J. Nemanich, J. Vac. Sci. Technol. B 2012,
30, 031212.
[125] H. Shi, H. Huang, J. Bao, J. Liu, P. S. Ho, Y. Zhou, J. T. Pender, M. D. Armacost, and
D. Kyser, J. Vac. Sci. Technol. B 2012, 30, 011206.
[126] H. Yamamoto, K.Asano, K. Ishikawa, M. Sekine, H. Hayashi, I. Sakai, T. Ohiwa, K.
Takeda, H. Kondo, and M. Hori, J. Appl. Phys. 2011, 110, 123301.
[127] H. Yamamoto, K. Takeda, K. Ishikawa, M. Ito, M. Sekine, M. Hori, T. Kaminatsui, H.
Hayashi, I. Sakai, and T. Ohiwa, J. Appl. Phys. 2011, 110, 084112.
[128] M. Urbanowicz, K. Vanstreels, D. Shamiryan, S. De Gendt, and M. R. Baklanov,
Electrochem. Solid State Lett. 2009, 8, H292.
[129] A. Urbanowicz, K. Vanstreels, P. Verdonck, D. Shamiryan, S. De Gendt, and M. R.
Baklanov, J. Appl. Phys. 2010, 107, 104122.
[130] E. Vinogradova, Microlectro. Eng. 2009, 86, 176.
[131] O. V. Braginsky, A. S. Kovalev, D. V. Lopaev, E. M. Malykhin, Yu. A. Mankelevich,
O. V. Proshina, T. V. Rakhimova, A. T. Rakhimov, D. G. Voloshin, A. N. Vasilieva, S. M.
Zyryanov, E. A. Smirnov, and M. R. Baklanov, J. Appl. Phys. 2011, 109, 043303.
[132] T. V. Rakhimova, D. V. Lopaev, Y. A. Mankelevich, A. T. Rakhimov, S. M. Zyryanov,
K. A. Kurchikov, N. N. Novikova, and M. R. Baklanov, J. Phys. D: Appl. Phys 2015, 48,
175203.
- 47 -
[133] T. V. Rakhimova, D. V. Lopaev, Y. A. Mankelevich, K. A. Kurchikov, S. M. Zyryanov,
A. P. Palov, O. V. Proshina, K. I. Maslakov, and M. R. Baklanov, J. Phys. D: Appl. Phys
2015, 48, 175204.
[134] Y. A. Mankelevich, E. N. Voronina, T. V. Rakhimova, A. P. Palov, D. V. Lopaev, S. M
Zyryanov, and M. R. Baklanov, J. Phys. D: Appl. Phys 2016, 49, 345203.
[135] Y. T. Sun, A. R. Negreira, J. Meersschaut, I. Hoflijk, I. Vaesen, T. Conard, H. Struyf, Z.
Tokei, J. Boemmels, M. Moinpour, S. De Feyter, and S. Armini, Microelectron. Eng. 2017,
167, 32.
[136] A. Razvanov, L. Zhang, M. Watanabe, M. Krishtab, L. Zhang, N. Hacker, P. Verdonck,
S. Armini, and J.-F. de Marneffe, J. Vac. Sci. Technol. B 2017, 35, 021211.
[137] A. Zotovich, A. Rezvanov, R. Chanson, L. Zhang, N. Hacker, K. Kurchikov, S. Klimin,
S. M. Zyryanov, D. Lopaev, E. Gornev, I. Clemente, A. Miakonkikh, and K. Maslakov, J.
Phys. D: Appl. Phys. 2018, 51, 325202.
[138] P. Uznanski, B. Glebocki, A. Walkiewicz-Pietrzykowska, J. Zakrzewska, A. M. Wrobel,
J. Balcerzak, and J. Tyczkowski, Surf. Coat. Technol. 2018, 350, 686.
[139] L. Guo, X. D. Qin, and F. Zaera, ACS Appl. Mater. Interf. 2016, 8, 6293.
[140] H. Miyajima, K. Watanabe, K. Ishikawa, M. Sekine, and M. Hori, Japan. J. Appl. Phys.
2019, 58, 020908.
[141] S. Nagahara, M. Fujimoto, M. Yamana, S. Watanabe, K. Shiba, and M. Tominaga, J.
Photopolym. Sci. Technol. 2003, 16, 351.
[142] P. C. Tsiartas, G. M. Schmid, H. F. Johnson, M. D. Stewart, and C. G. Willson, J. Vac.
Sci. Technol. B 2005, 23, 224.
[143] T. Fukuda, N. Aoi, and Y. Funaki, Japan. J. Appl. Phys. 2007, 46, 903.
[144] J. Nakahira, S. Nagano, A. Gawase, Y. Ohashi, H. Shimizu, S. Chikaki, N. Oda, S.
Kondo, S. Hasaka, and S. Saito, Japan. J. Appl. Phys. 2010, 49, 05FD04.
- 48 -
[145] T. Usami, Y. Miura, T. Nakamura, H. Tsuchiya, C. Kobayashi, K. Ohto, S. Hiroshima,
M. Tanaka, H. Kunishima, I. Ishizuka, T. Kuwajima, M. Sakurai, S. Yokogawa, and K.
Fujii, Microelectron. Eng. 2013, 112, 97.
[146] T. T. Frot, Adv.Mater. 2011, 23, 2828.
[147] T. Frot, Adv. Funct. Mater. 2012, 22, 3043.
[148] M. Darnon, N. Casiez, T. Chevolleau, G. Dubois, W. Volksen, T.J. Frot, R. Hurand, T.L.
David, N. Posseme, N. Rochat, and C. Licitra, J. Vac. Sci. Technol. 2013, 31, .
[149] L. Zhang, J-F. de Marneffe, N. Heylen, S. Naumov, Y. Sun, A. Zotovich, Z. el Otell, F.
Vajda, S. De Gendt, and M. R. Baklanov, ECS J. Solid. State Sci. Technol. 2015, 4, N3098.
[150] L. Zhang, J-F. de Marneffe, P. Verdonck, N. Heylen, L. G. Wen, C. Wilson, Z. Tokei, J.
Boemmels, S. De Gendt, and M. R. Baklanov, J. Phys. D: Appl. Phys. 2016, 49, 505105.
[151] M. Krishtab, J.-F. de Marneffe, S. De Gendt, and M. R. Baklanov, Appl. Phys. Lett.
2017, 110, 013105.
[152] L. Zhang, J-F. de Marneffe, N. Heylen, G. Murdoch, Z. Tokei, J. Boemmels, S. De
Gendt, and M. R. Baklanov, Appl. Phys. Lett. 2015, 107, 092901.
[153] Y. Huang, L. Liu, S. Zhang, H. Yu, and J. Yang, Eur. Polymer J. 2018, 98, 347.
[154] N. Koehler, T. Fischer, S. Zimmermann, and S. E. Schulz, Microelectron. Eng. 2016,
156, 116.
[155] T. Takahagi, A. Saiki, H. Sakaue, and S. Shingubara, Japan. J. Appl. Phys. 2003, 42,
157.
[151] M. Kanezashi, M. Murata, H. Nagasawa, and T. Tsuru, ACS Omega 2018, 3, 8612.
[152] C. Liu, Q. Qi, D. S. Seregin, A. S. Vishnevskiy, Y. Wang, S. Wei, J. Zhang, K. A.
Vorotilov, F. N. Dultsev, and M. R. Baklanov, Japan. J. Appl. Phys. 2018, 57, 07MC01.
[153] K. Uera, J. Kawahara, H. Miyoshi, N. Hata, and T. Kikkawa, Japan. J. Appl. Phys.
2004, 43, 504.
- 49 -
[154] S. W. King, J. Bielefeld, G. Xu, W. A. Lanford, Y. Matsuda, R. H. Dauskardt, N. Kim,
D. Hondongwa, L. Olasov, B. Daly, G. Stanf, M. Liu, D. Dutta, and D. Gidley, J. Non-
Cryst. Solid. 2013, 379, 67.
[155] S. W.King, ECS J. Solid State Sci. Technol. 2015, 4, N3029.
[156] M. Sato, S. W. King,W. A. Lanford, P. Henry, T. Fujiseki, and H. Fujiwara, J. Non-
Cryst. Solid. 2016, 440, 49.
[157] G. Bhattarai, S. Dhungana, B. J. Nordell, A. N. Caruso, M. M. Paquette, W. A. Lanford,
and S. W. King, Phys. Rev. Materials 2018,2, 055602.
[158] J. A. Burg, M. S. Oliver, T. J. Frot, M. Sherwood, V. Lee, G. Dubois, and R. H.
Dauskardt, Nat. Commun. 2017, 8, 1019.
[159] Q. Su, T. Wang, J. Gigax, L. Shao, W. A. Lanford,M. Nastasi, L. Li, G. Bhattarai, M. M.
Paquette, and S. W. King, Acta Materialia 2019, 165, 587.
[160] Y. Hiraoka, T. Nakamura, A. Hirata, E. G. Escolar, K. Matsue, and Y. Nishiura, PNAS
2016, 113, 7035.
[161] D. H. Brouwer, and K. P. Langendoen, CrystEngComm. 2013, 15, 8748.
[162] S. Kaskel, The Chemistry of Metal-Organic Frameworks: Synthesis, Characterization,
and Applications, Wiley, 2016.
[163] O. Delgado-Friedrichs, M. O’Keeffea, and O. M. Yaghi, Acta Cryst. A 2006, 62, 350.
[164] L. Merrill, J. Phys. Chem. Ref. Data 1982, 11, 1005.
[165] S. V. Krivovichev, Mineralogical Magazine 2013, 77, 275.
[166] K. Philipps, R. P. Stoffel, R. Dronskowski, and R. Conradt, Front. Mater. 2017, 4, 2.
[167] E. Bykova, M. Bykov, A. Černok, J. Tidholm, S. I. Simak, O. Hellman, M. P. Belov, I.
A. Abrikosov, H.-P. Liermann, M. Hanfland, V. B. Prakapenka, C. Prescher, N.
Dubrovinskaia, and L. Dubrovinsky, Nat. Comm. 2018, 9, 4789.
... The increased crosslinking density enhanced the structural rigidity of the PI material, making it more stable upon thermal expansion. With the increase in CED content, PI−3% formed an interlocking stable structure with DPT molecules when small amounts of CE were added [52,53]. Then, it co-polymerized with diamine to form a network structure. ...
... The CTEs of PI−5% and PI−7% are both 3 × 10 −6 K −1 , which is similar to inorganic materials such as low-temperature polycrystalline silicon (LTPS, 2.6 × 10 −6 K −1 ) in flexible OLEDs. tent, PI−3% formed an interlocking stable structure with DPT molecules when small amounts of CE were added [52,53]. Then, it co-polymerized with diamine to form a network structure. ...
Article
Full-text available
Polymer materials with a low dielectric constant and low dielectric loss have the potential to be applied to high-frequency signal transmissions, such as mobile phone antennas and millimeter wave radars. Two types of diamines, 4,4′-diamino-p-tetraphenyl (DPT) and crown ether diamine (CED), were prepared for ternary copolymerization with BPDA in this study. Cross-links with molecular chains were formed, increasing molecular chain distance by utilizing rings of CED. The MPI films exhibit a good thermal performance with the increase in CED addition, with Tg > 380 °C and CTE from −4 × 10−6 K−1 to 5 × 10−6 K−1. The Young’s modulus can reach 8.6 GPa, and the tensile strength is above 200 MPa when 5% and 7% CED are introduced. These MPI films exhibit good mechanical performances. The dielectric constant of PI−10% film can go as low as 3.17. Meanwhile, the relationship between dielectric properties and molecular structure has been demonstrated by Molecular Simulation (MS). PI molecules are separated by low dielectric groups, resulting in a decrease in the dielectric constant.
... Nowadays, the microelectronics industry uses some materials where a part of Si-O bonds is replaced by Si-F or Si-C bonds. The first generation of low-k materials employed in microelectronics was layers of fluorosilicate glass with k values ranging from 3.2 to 3.8, depending on the concentration of F atoms in the film [3,4]. Low-k dielectrics of second generation were organosilicate glass (OSG) films which have a lower k value (2.8-3.2); ...
... Low-k dielectrics of second generation were organosilicate glass (OSG) films which have a lower k value (2.8-3.2); they are composed of a threedimensional Si-O-Si network and contain Si-H or Si-R bonds (where R is an organic fragment, e.g., CH 3 ) [3,5,6]. ...
... Traditionally, aluminum (Al) and silicon oxide (SiO 2 ) were utilized for the metal and dielectric materials for interconnects, respectively. The industry had replaced aluminum (2.6910 -8 XÁm) with the lower resistivity copper (Cu, 1.7910 -8 XÁm) in the late 1990s [4][5][6]. Next, the industry replaced SiO 2 (k = 4.2) dielectrics with low dielectric constant (lowk, k \ 3.5) SiCOH [5]. Another benefit of utilizing low-k materials for interconnects is the reduced power consumption. ...
Article
Full-text available
Flexible low-dielectric constant (low-k, k < 4.0) SiCOH films were deposited onto ITO/PEN flexible substrates by plasma-enhanced chemical vapor deposition of tetrakis(trimethylsilyloxy)silane precursor at room temperature with plasma powers from 20 to 100 W. The pristine SiCOH films had a mechanical strength up to 9.1 GPa and a low k-value down to 2.00, and they were transparent, smooth, and hydrophobic. As the plasma power increased, there were an increase in the suboxide structure, increase in density, and decrease in the methyl group concentration. In the lower plasma power regime of 20–60 W, the k-value decreased as the plasma power increased due to the increased suboxide structure. In the higher plasma power regime of 60–100 W, the k-value increased as the plasma power increased due to the decreased methyl concentration and increased density. The mechanical properties increased as the plasma power increased. Upon repeated mechanical bending tests with bending cycles up to 10,000, the flexible SiCOH films maintained their transparency, smoothness, and hydrophobicity and showed a stable k-value below 4.0. There were no significant changes in the FTIR spectra and no cracks or delamination observed in the films. The SiCOH films showed stable physical, chemical, and electrical properties under the repeated mechanical bending.
... [69,70] For the fabrication of wires and interconnects of the device, an insulating film is deposited, and then holes and trenches are manufactured by etching uncovered areas of the film with the protection of a patterned resist mask. [71] Reactive plasma is used for etching the insulating dielectric films, such as silicon dioxide, [72] silicon nitride, [73] silicon oxyfluoride, [74] and silicon oxycarbide. [75][76][77][78] Since the late 1990s, anisotropic etching has been used for the fabrication of deep holes and trenches with dimensions narrower than 100 nm and high-aspect ratios of more than 20. ...
Article
Full-text available
Low-temperature plasma-processing technologies are essential for material synthesis and device fabrication. Not only the utilization but also the development of plasma-related products and services requires an understanding of the multiscale hierarchies of complex behaviors of plasma-related phenomena, including plasma generation physics and chemistry, transport of energy and mass through the sheath region, and morphology-and geometry-dependent surface reactions. Low-temperature plasma science and technology play a pivotal role in the exploration of new applications and in the development and control of plasma-processing methods. Presently, science-based and data-driven approaches to control systems are progressing with the state-of-the-art deep learning, machine learning, and artificial intelligence. In this review, researchers in material science and plasma processing review and discuss the requirements and challenges of research and development in these fields. In particular, the prediction of plasma parameters and the discovery of processing recipes are asserted by outlining the emerging science-based, data-driven approaches, which are called plasma informatics.
... Within the large array of applications of metal-organic frameworks (MOFs), evergrowing attention is being paid to their use as materials for low-dielectric-constant (low-κ) devices, which are fundamental for the miniaturization of integrated circuits in informatics technology [1]. This is one of the main challenges for current research on advanced and disruptive materials. ...
Article
Full-text available
We investigated the adsorption properties and the dielectric behavior of a very well-known metal-organic framework (MOF), namely Cu3(BTC)2 (known as HKUST-1; BTC = 1,3,5-benzenetricarboxylate), before and after protection with some amines. This treatment has the purpose of reducing the inherent hygroscopic nature of HKUST-1, which is a serious drawback in its application of as low-dielectric-constant (low-κ) material. Moreover, we investigated the structure of HKUST-1 under a strong electric field, confirming the robustness of the framework. Even under dielectric perturbation, the water molecules adsorbed by the MOF remained almost invisible to X-ray diffraction, apart from those directly bound to the metal ions. However, the replacement of H2O with a more visible guest molecule such as CH2Br2 made the cavity that traps the guest more visible. Finally, in this work we demonstrate that impedance spectroscopy is a valuable tool for identifying water sorption in porous materials, providing information that is complementary to that of adsorption isotherms.
Article
In the area of Polymer dielectric materials, those with low dielectric constant and low dielectric loss have potential to be applied in high-frequency signal transmissions, such as antennae of mobile phones and millimeter-wave radars. This study is focused on producing fluorinated polyimide (PI) film with modified organosilicon (APDS). In this work, APDS was used to PI film. The spatial hindrance of Trifluoromethyl can reduce the high frequency dielectric loss of modified PI film. The length of molecular chain was decreased as increasing the proportion of APDS, which reduced the quantity of polar imide rings in unit volume. Meanwhile, the Si-O-Si bond combination in backbone decreased the integer polarity of PI film, which resulted in the decrease of tan 𝛿. The values of ε and tan 𝛿 were 2.48 and 0.00335 respectively under 10 GHz. The values of ε and tan𝛿 were reduced to 6.6% and 36.5% respectively compared with base membrane. Thus, this work provides a simple method to reduce the dielectric constant and dielectric loss of PI film. Meanwhile, the relationship between dielectric properties and molecular structure was proved. PI molecules were separated by low dielectric groups, which resulted in a decrease in dielectric constant and dielectric loss.
Article
In this work, we studied the etch characteristics and dielectric constant change of SiOC thin films by plasma etching for the fabrication of nanoscale devices to evaluate the C 5 H 2 F 10 as alternative etching gas. We performed plasma etching of SiOC films with inductively coupled plasma using the CF 4 +X+O 2 mixed gas, where X = CHF 3 and C 5 H 2 F 10 . Plasma diagnosis such as optical emission spectroscopy and double Langmuir probe measurements were carried. We analyzed the chemical compositions of residues on the etched SiOC film surface using X-ray photoelectron spectroscopy. After the process, contact resistance was measured using the transmission line method to analyze the degree of polymer on the surface of the silicon. Ellipsometry were used to evaluate the change in the dielectric constant of the thin film due to plasma exposure. It was confirmed that the etched profile was more vertical than that of the CHF 3 gas plasma, and the increase in the dielectric constant of the SiOC thin film by C 5 H 2 F 10 gas plasma is less than that of CHF 3 gas plasma. These results confirmed that C 5 H 2 F 10 gas was a powerful alternative to CHF 3 gas in semiconductor processing for the fabrication of nanoscale devices.
Article
Full-text available
Ruthenium thin films were deposited by plasma enhanced atomic layer deposition using bis(ethylcyclopentadienyl)ruthenium(II) or Ru(EtCp) 2 and oxygen plasma. The growth characteristics have been studied on a silicon substrate with different interfaces in a wide temperature range. On Si and SiO 2 , a nucleation delay period has been observed, which can be substantially reduced by the use of a tantalum nitride underlayer of ∼ 0.3 nm. The surface analysis shows that the substrate’s temperature strongly affects the composition of the film from ruthenium oxide at low temperatures to pure ruthenium film at higher temperatures.
Article
Full-text available
The chemical bonding structure of porous low-k carbon-doped silicon oxide (SiOC) films (k < 2.4) was engineered using plasma-enhanced chemical vapor deposition and electron-beam curing. The high carbon concentration in the SiOC films with di-methyl bonds (Si(CH3)2) is crucial for resistance to plasma-induced damage (PID) and prevention of moisture uptake after the plasma treatment. The mix of di-methyl bonds is believed to be the key to protecting the films from PID because the films retain their hydrophobic characteristics even after plasma treatment. Thus, control of the ratio of di-methyl bonds to mono-methyl bonds (SiCH3) in the as-deposited SiOC film is necessary. Selection of trimethyl silane as a precursor for film matrix formation resulted in excellent control of this ratio to obtain highly reliable low-k/Cu interconnects for high-performance logic devices.
Article
Full-text available
Modelling of processes involving deep Earth liquids requires information on their structures and compression mechanisms. However, knowledge of the local structures of silicates and silica (SiO2) melts at deep mantle conditions and of their densification mechanisms is still limited. Here we report the synthesis and characterization of metastable high-pressure silica phases, coesite-IV and coesite-V, using in situ single-crystal X-ray diffraction and ab initio simulations. Their crystal structures are drastically different from any previously considered models, but explain well features of pair-distribution functions of highly densified silica glass and molten basalt at high pressure. Built of four, five-, and six-coordinated silicon, coesite-IV and coesite-V contain SiO6 octahedra, which, at odds with 3rd Pauling’s rule, are connected through common faces. Our results suggest that possible silicate liquids in Earth’s lower mantle may have complex structures making them more compressible than previously supposed.
Article
Full-text available
Fluorine-doped organosilica membranes for gas and pervaporation (PV) separation were fabricated using a sol–gel method. NH4F and bis(triethoxysilyl)methane (BTESM) were selected as the dopant and Si precursor, respectively, for the fabrication of fluorine-doped organosilica membranes. Doping with fluorine was evaluated for its effect on the physicochemical properties of organosilica (hydrophobicity/hydrophilicity and network size). Fluorine doping dramatically eliminated the formation of Si–OH groups in the sol, so that the condensation of Si–OH groups during the calcination process was suppressed. It is possible that fluorine doping enlarged the network pore sizes in organosilica, because the F-BTESM (F/Si = 1/9) membrane showed superior He and H2 permeance with a low H2/N2 permeance ratio that corresponded to the network pore size by comparison with an undoped BTESM membrane. The F-BTESM (F/Si = 1/9) membranes clearly showed a high level of C3H6 permeance (>3.0 × 10–7 mol m–2 s–1 Pa–1) with a high C3H6/SF6 permeance ratio (∼250), which suggests that the network pore size of F-BTESM is suitable for the separation of large molecules such as hydrocarbon gases (C3/C4, C4 isomer, etc.). Organosilica membranes both with and without fluorine doping showed stable PV performance because of the fact that H2O permeance and each permeance ratio under different separation systems was approximately constant over 10 h at 70 °C. Fluorine doping enhanced the hydrophobic nature of the organosilica, which was confirmed by the H2O adsorption and PV properties.
Article
Full-text available
Polymer grafting is studied in porous low-k SiCOH films as a protection against plasma damage. Pores of low-k films were covered by plasma damage management (PDM) polymer. A multistep deposition approach was applied to increase polymer layer thickness that helped avoid pore stuffing, nonuniform deposition and polymer overburden. To study polymer protection low-k films were exposed to F radicals and VUV photons, separately and simultaneously at temperatures from -45◦C to +10◦C. Effective polymer protection at room temperatures was demonstrated. Lowering the temperature decreases degradation by F radicals while VUV damage, which is temperature independent, becomes dominant. Low-k damage protection was also significant under simultaneous exposure to F radicals and VUV photons. In the tested temperature range damage under simultaneous exposure to F radicals and VUV photons was higher than the sum of separate F and VUV damages due to a synergistic effect. To decrease the material k-value after etching the polymer was removed from the pore walls using UV cure. It is shown that almost complete polymer removal was achieved after UV treatment. The described approach was applied to low-k etching in RF CCP CF4, CF4/Ar plasmas and exposure to Ar plasma. Significant improvement of the film k-value after the plasma treatment was confirmed.
Article
The dielectric interface for a stack of SiOC and SiCN films in Cu/low-k interconnects is engineered using Ar plasma pretreatment of the top surface of SiCN, which prevents oxidization during SiOC film deposition. Oxidized SiCN causes delamination of the SiOC film and resist poisoning through amines generated during resist baking, which can lead to undeveloped photoresist. The Ar plasma pretreatment in the plasma-enhanced chemical vapor deposition chamber modifies the interface by redepositing a thin pre-coated SiOC film from the upper electrode surface. This redeposited SiOC film acts as a buffer for oxygen plasma during SiOC film deposition.
Article
Radiation damage in materials is an important reliability issue in applications ranging from microelectronic devices to nuclear reactors. However, the influence of atomic structure and specifically topological constraints on the ion damage resistance of amorphous dielectrics has until recently been largely neglected. We have investigated the 120 keV He⁺ ion damage resistance for a series of amorphous hydrogenated silicon carbide (a-SiC:H) thin films. Changes in elemental composition and atomic structure induced by He⁺ ion irradiation were monitored using nuclear reaction analysis, Rutherford backscattering spectroscopy, transmission Fourier-transform infrared spectroscopy, and transmission electron microscopy while changes in mechanical properties were investigated using nanoindentation measurements. We show that for 120 keV He⁺ ion doses producing up to one displacement per atom, significant hydrogen loss, bond rearrangement, film shrinkage, and mechanical stiffening were induced for films with mean atomic coordination (〈r〉) ≤ 2.7, while comparatively minor changes were observed for films with 〈r〉 > 2.7. The observed radiation hardness threshold at 〈r〉rad > 2.7 is above the theoretically predicted rigidity percolation threshold of 〈r〉c = 2.4. Based on the observed elimination of terminal C–H bonds and Si–CH2–Si linkages, the higher radiation hardness threshold is interpreted as evidence that these bonds are too weak to function as constraints in high-energy ion collisions. Eliminating these constraints increased 〈r〉c to 2.7, in agreement with the observed 〈r〉rad = 2.7. These results demonstrate the key role of topological constraints in ion damage resistance and provide additional criteria for the design of ion-damage-resistant amorphous materials.
Article
The strain-free Raman shift of the Ge–Ge mode, , of Ge-rich Si1− xGe x (x: Ge fraction) was determined accurately from the bulk Ge-rich Si1− xGe x samples fabricated by the Czochralski (Cz) method. Using the obtained , the phonon deformation potentials (PDPs), p and q, and the strain-shift coefficient b LO of isotropic biaxial strained Ge-rich Si1− xGe x thin films were extracted by oil-immersion Raman spectroscopy using Raman peak shifts of longitudinal and transverse optical (LO and TO) phonon modes. As a result, it was confirmed that these parameters are almost constant with small variations and that the strain-shift coefficient b LO is in good agreement with ab initio calculations. The parameters determined in this work are essential to realize accurate strain measurements using Raman spectroscopy for Ge-rich Si1− xGe x devices.
Article
The motivation for this contribution is the search for thin-film silicon oxycarbide (SiOC) materials suitable for modern electronics with good chemical/thermal stability, good barrier properties and conformal coverage, which can be deposited on rigid and flexible substrates, and whose surface can be organically functionalized. Two types of thin SiOC films of very different nature, such as polymer-like and ceramic-like, were fabricated by means of remote hydrogen microwave plasma chemical vapour deposition (RP-CVD) from 1,1,3,3–tetramethyldisiloxane (TMDSO). The RP-CVD coatings deposited on a silicon substrate were then modified by treatment with a direct radiofrequency (RF) plasma process induced in a mixture of argon and water vapour (Ar/H2O), which resulted in the surface activation through the formation of highly reactive silanol groups (Si–OH). In this process a silicon oxide layer (SiOx) was also formed, and its growth was examined by FTIR, XPS and ellipsometry. The growth of the SiOx structure reduces the film thickness of silicon oxycarbide. Activation of the film surface is completed in <10 s. In the next modification step, the RP-CVD films were silanized by immobilizing (3-aminopropyl)triethoxysilane (APTES). Attachment of APTES molecules to the activated surface of SiOC films was observed with the development of an additional layer of SiOx on the surface. The silanization with APTES vapour under nitrogen allowed the formation of 10 nm-thick condensate. The AFM microscopic examination showed that the deposited APTES layers are homogeneous without aggregates specific for conventional silanization from the solution. The final modification of RP-CVD films was achieved by functionalization with an organic fluorescent probe, which involves the covalent attachment of pyrene to amino group of APTES using hydroxyimide ester linkage (PyNHS). The results of the present study proved that the chemical functionalization of thin silicon oxycarbide films was achieved.
Article
Surfactant-templated organosilicate glass (OSG) based low-k films are deposited by using tetraethyl orthosilicate/methyltriethoxysilane (TEOS/ MTEOS) mixture with different ratio and spin-on technology with the goal of understanding the effects of terminal methyl groups on chemical and structural properties. It is shown that despite of constant surfactant concentration these films have quite different properties when the changing of CH3/Si ratio. The most important changes are related to change of their hydrophilicity, change of mechanical properties, the pore size and to the shift of Si–CH3 peak position in Fourier transform infrared (FTIR) spectra. The films are becoming hydrophobic if they are deposited from sols with CH3/Si ratio higher than 0.2. The Young’s modulus gradually decreases with increasing the terminal methyl groups concentration in the films. The pore size increases with concentration of methyl groups and changes from cylindrical to ink-bottle shape. The nature of SiCH3 peak shift is explained by using molecular mechanics simulation. It is shown that the reason of this shift is change of dπ–pπ hybridization in Si–O–Si bonds, which is affected by presence of CH3 group
Article
Analytical expressions describing ion-induced sputter or etch processes generally relate the sputter yield to the surface atomic binding energy (Usb) for the target material. While straightforward to measure for the crystalline elemental solids, Usb is more complicated to establish for amorphous and multielement materials due to composition-driven variations and incongruent sublimation. In this regard, we show that for amorphous multielement materials, the ion-driven yield can instead be better understood via a consideration of mechanical rigidity and network topology. We first demonstrate a direct relationship between Usb, bulk modulus, and ion sputter yield for the elements, and then subsequently prove our hypothesis for amorphous multielement compounds by demonstrating that the same relationships exist between the reactive ion etch (RIE) rate and nanoindentation Young's modulus for a series of a−SiNx:H and a−SiOxCy:H thin films. The impact of network topology is further revealed via application of the Phillips–Thorpe theory of topological constraints, which directly relates the Young's modulus to the mean atomic coordination (〈r〉) for an amorphous solid. The combined analysis allows the trends and plateaus in the RIE rate to be ultimately reinterpreted in terms of the atomic structure of the target material through a consideration of 〈r〉. These findings establish the important underlying role of mechanical rigidity and network topology in ion–solid interactions and provide additional considerations for the design and optimization of radiation-hard materials in nuclear and outer space environments.