Conference PaperPDF Available

The need and opportunities of electromigration-aware integrated circuit design

Authors:

Abstract

Electromigration (EM) is becoming a progressively severe reliability challenge due to increased interconnect current densities. A shift from traditional (post-layout) EM verification to robust (pro-active) EM-aware design - where the circuit layout is designed with individual EM-robust solutions - is urgently needed. This tutorial will give an overview of EM and its effects on the reliability of present and future integrated circuits (ICs). We introduce the physical EM process and present its specific characteristics that can be affected during physical design. Examples of EM countermeasures which are applied in today's commercial design flows are presented. We show how to improve the EM-robustness of metallization patterns and we also consider mission profiles to obtain application-oriented current-density limits. The increasing interaction of EM with thermal migration is investigated as well. We conclude with a discussion of application examples to shift from the current post-layout EM verification towards an EM-aware physical design process. Its methodologies, such as EM-aware routing, increase the EM-robustness of the layout with the overall goal of reducing the negative impact of EM on the circuit's reliability.
The Need and Opportunities of Electromigration-Aware
Integrated Circuit Design
(Invited Paper)
Steve Bigalke, Jens Lienig
Institute of Electronic Design
TU Dresden
Dresden, Germany
steve@bigalke.us,jens@ieee.org
Göran Jerke
Automotive Electronics
Robert Bosch GmbH
Reutlingen, Germany
goeran.jerke@ieee.org
Jürgen Scheible
Robert Bosch Center
for Power Electronics
Reutlingen, Germany
juergen.scheible.de@ieee.org
Roland Jancke
Fraunhofer Institute
for Integrated Circuits
Dresden, Germany
roland.jancke@eas.iis.fraunhofer.de
ABSTRACT
Electromigration (EM) is becoming a progressively severe reliability
challenge due to increased interconnect current densities. A shift
from traditional (post-layout) EM verication to robust (pro-active)
EM-aware design - where the circuit layout is designed with in-
dividual EM-robust solutions - is urgently needed. This tutorial
will give an overview of EM and its eects on the reliability of
present and future integrated circuits (ICs). We introduce the phys-
ical EM process and present its specic characteristics that can
be aected during physical design. Examples of EM countermea-
sures which are applied in today’s commercial design ows are
presented. We show how to improve the EM-robustness of metal-
lization patterns and we also consider mission proles to obtain
application-oriented current-density limits. The increasing inter-
action of EM with thermal migration is investigated as well. We
conclude with a discussion of application examples to shift from
the current post-layout EM verication towards an EM-aware phys-
ical design process. Its methodologies, such as EM-aware routing,
increase the EM-robustness of the layout with the overall goal of
reducing the negative impact of EM on the circuit’s reliability.
CCS CONCEPTS
Hardware Physical synthesis
;Hardware reliability; Circuit
hardening;
KEYWORDS
Reliability, Electromigration, Current Density, Thermal Migration
ACM Reference Format:
S. Bigalke, J. Lienig, G. Jerke, J. Scheible and R. Jancke. 2018. The Need and
Opportunities of Electromigration-Aware Integrated Circuit Design: (Invited
Paper). In IEEE/ACM INTERNATIONAL CONFERENCE ON COMPUTER-
AIDED DESIGN (ICCAD ’18), November 5–8, 2018, San Diego, CA, USA. San
Diego, CA, USA, Nov. 2018. https://doi.org/10.1145/3240765.3265971
Permission to make digital or hard copies of all or part of this work for personal or
classroom use is granted without fee provided that copies are not made or distributed
for prot or commercial advantage and that copies bear this notice and the full citation
on the rst page. Copyrights for components of this work owned by others than the
author(s) must be honored. Abstracting with credit is permitted. To copy otherwise, or
republish, to post on servers or to redistribute to lists, requires prior specic permission
and/or a fee. Request permissions from permissions@acm.org.
ICCAD ’18, November 5–8, 2018, San Diego, CA, USA
©2018 Copyright held by the owner/author(s). Publication rights licensed to ACM.
ACM ISBN 978-1-4503-5950-4/18/11.
https://doi.org/10.1145/3240765.3265971
1 INTRODUCTION
The International Roadmap for Devices and Systems (IRDS) [
11
]
and the International Technology Roadmap for Semiconductors
(ITRS) [
12
] predict that semiconductors scale and interconnect
cross-sections will decrease further over the coming years. Ac-
companying this trend is a reduction in the necessary currents
due to reduced gate capacitances. However, the currents are not
decreasing to the same extent as conductor cross-sections, so that
current densities (resulting from the quotient of the conductor’s
current and cross-section) are increasing.
High current densities are the main driving force of electromi-
gration (EM). Therefore, the reliability of integrated circuits (ICs)
is increasingly endangered by EM; hence, EM is one of the most
important topics that design automation has to deal with nowadays.
According to the ITRS [
12
], we have reached the point where EM
must be considered in our design ows because the interconnects in
up-to-date technologies encounter already severe EM degradations
(Fig. 1). The forecast for the next few years is even worse, as the
ITRS predicts a lack of EM solutions in approximately 5 years [
20
].
Consequently, EM damages, such as hillocks or voids, are expected
to be observed more and more frequently, limiting the interconnect
reliability.
2018 2020 2022 2024 2026 2028
0.1
1
10
100
Unknown EM solution
EM degradation
Current density
Year
Current density in MA cm2
(a)
2018 2020 2022 2024 2026 2028
0.1
1
10
100
Unknown EM solution*
EM degradation*
Current density
*Our assumption
Year
Current density in MA cm2
(b)
Fig. 1: (a) The need to consider EM in circuit design can be
seen in the current densities projections (IRDS, ITRS), which
has already entered the area of EM degradations and will de-
velop into the range of unknown EM solutions [11, 12]. (b)
EM-aware integrated circuit design tolerates the future cur-
rent density and performance increases by hardening lay-
outs against EM through raising the EM thresholds.
To make matters worse, the increase of current density takes
place at the same time as the thresholds of current density decrease
(see the yellow and red borders in Fig. 1a). The reason is that smaller
interconnects are more sensitive to EM damages because, among
others, the volume to change the interconnect’s resistance decreases
with its dimension. In other words, EM must move less material
This paper is posted here by the authors for your personal use.
Please quote as indicated in "ACM Reference Format" (see on bottom of this page).
ICCAD ’18, November 5–8, 2018, San Diego, CA, USA S. Bigalke, J. Lienig, G. Jerke, J. Scheible and R. Jancke
in smaller interconnects than in larger ones in order to increase
their resistance. In addition, the introduction of low-k (“softer”)
dielectrics further reduces the EM thresholds because their low
stiness weakens the surrounding’s stability [
27
]. Interconnects
can therefore withstand less mechanical stress than before.
The aggressive shrinkage of interconnects in recent decades has
left only a few atomic layers within their smallest structures. A
slowdown of the interconnect shrinking is expected in the future,
as predicted in the ITRS [
12
]. With the introduction of FinFET
transistors, we have already crossed the line where the transistor
itself could drive higher current densities than the contact elements.
This means that the back end of line (BEOL, the portion of IC
fabrication where the individual devices get interconnected with
wiring on the wafer) is becoming the limiting factor for future
performance increases.
Another concerning aspect is that EM is accelerated by high
temperatures. Specically, the increase of currents densities, as
well as frequencies, can cause local temperature hot spots within
the interconnects. The resulting additional amplication of EM,
known as “positive feedback loop” [
19
], leads to an even greater
reliability degradation due to diusion and void growth.
For all these reasons, EM-aware design has changed from some-
thing designers “should” think about to something they “must”
think about, i.e., it is now a denite requirement. Since the number
of EM violations will increase signicantly in the verication step
in future technology nodes, a post-layout repair step is no longer
feasible. In other words, it is highly important that today’s design
ows change from the traditional (post-layout) EM verication
towards a (pro-active) EM-aware design methodology, enabling
the expected current density rise and ensuring reliable circuits (see
Fig. 1b).
2 ELECTROMIGRATION AND ITS
MITIGATION IN TODAY’S DESIGN FLOWS
2.1 Fundamentals
Electromigration (EM) is a process of material dislocation mainly
driven by high current densities. This process also depends on
temperatures, interconnect geometries, material parameters and
manufacturing processes. However, the main cause of EM remains
the movement of electrons driven by an electric eld, which collide
with the lattice atoms (Fig. 2). This momentum exchange creates an
electron wind force in electron ow direction, which is much greater
than the (opposite) force of the electric eld. The current ow also
heats the interconnect by Joule heating, which, in turn, increases
the EM eect and can cause thermal migration (TM, also labelled as
thermomigration). As a result of the material dislocation, the atomic
concentration at the anode increases, causing compressive stress
(and tensile stress at the cathode) to occur. The resulting stress
gradient might cause a back ow called stress migration (SM).
Figure 3 shows a typical stress development over time within
an interconnect with blocking boundaries at both ends. This inter-
connect structure is common for the widespread dual-damascene
technique. The stress is slowly building up because EM moves atoms
from one side to the other and, therefore, changes the concentra-
tion within the interconnect. The balance between EM and SM, also
called steady-state condition, denes the maximum and minimum
EM Fwind
Fel
SM σc
σt
T
j
σtσc
Fig. 2: Conduction electrons (blue) collide with atoms (red)
creating a momentum exchange and, therefore, driving
atoms towards the anode. This reduces (increases) the
atomic concentration at the cathode (anode) and introduces
tensile (compressive) stress σt(σc).
stresses within the interconnect. If the maximum (minimum) stress
is higher (lower) than a technology-dependent EM-threshold value,
then a void (hillock) might form. (Note that we use “might” because
EM is a statistical process with a certain degree of uncertainty.)
If voids or hillocks occur, the interconnect might fail as the dam-
age expands. The EM threshold for voids is usually lower than for
hillocks due to a residual stress within the interconnect caused by
the manufacturing process.
e-
0 0.5 1
-1
0
1
σhillock threshold
σvoid threshold
σtensile
σcompressive
l
/lmax
σ
/σmax
tsteady state
t2
t1
Fig. 3: Stress development over time in a conned intercon-
nect. The nal steady-state condition is the balance between
EM and SM, dening the maximum and minimum stresses.
The diculty in this migration process is that the current density,
stress and temperature gradient can each cause an atomic ux
®
J
by
themselves (called EM, SM and TM, respectively) described by
®
J=®
JEM +®
JSM +®
JTM =CD
kT eZ *ρ®
j+CD
kT ®
σ+CD
kT 2Q®
T,(1)
with the concentration
C
, diusivity
D
, Boltzmann’s constant
k
,
temperature
T
, electric charge
e
, eective charge number
Z*
, resis-
tivity
ρ
, current density
j
, atomic volume
, hydrostatic stress
σ
and transported heat
Q
[
28
]. Therefore, we have three dierent driv-
ing forces but one problem – the (undesired) migration of atoms.
All three kinds of material migration can mutually amplify or com-
pensate each other, making the distinction even harder. The total
atomic ux is subjected to the mass balance equation given by
C
t=®
∇ · ®
J,(2)
which describes that a divergence of the atomic ux causes the con-
centration to change over time
t
[
26
]. This concentration change is
then related to the development of stress in single and multibranch
interconnects [7][16].
For many years, the current density has been the main indi-
cator and design parameter for EM. Today, more and more ap-
proaches are also taking the interconnect geometry into account
(e.g., [
4
][
7
][
25
]). The EM parameter of interest is therefore no longer
only the length-
independent
current density but also the length-
dependent stress [5].
The Need and Opportunities of Electromigration-Aware Integrated Circuit Design ICCAD ’18, November 5–8, 2018, San Diego, CA, USA
2.2 EM-Mitigating Eects in Physical Design
While the aforementioned physical process of EM has been known
for a long time, it only has gained importance over the last two
decades, due to increased current densities related with IC down-
scaling. Well-known options for mitigating EM in today’s design
ows are:
Interconnect material: Pure copper used for interconnect metal-
lization is more EM-robust than aluminum at low temperatures.
Interconnect temperature: Interconnect MTF is greatly impacted
by conductor temperature, as evidenced by Black’s Equation [
6
]
where it appears in the exponent. For an interconnect to remain
reliable at high temperatures, the maximum tolerable current den-
sity of the conductor must necessarily decrease. On the other hand,
lowering the temperature supports higher current densities while
maintaining the reliability of the interconnect.
Interconnect width: Given that current density is the ratio of
current and cross-sectional area, and that most process technologies
assume a constant thickness of the interconnects, the width has a
direct bearing on current density. The wider the interconnect, the
lower the current density and the greater the resistance to EM.
The above mentioned three options have been discussed in detail
in [
17
]. They are of limited use in today’s technologies because
they have been largely exploited and/or their application would be
counter-intuitive to the new technology nodes that further reduce
structure sizes [
15
]. Therefore, tolerable current density limits need
to be maximized by exploiting other EM-inhibiting measures, which
are discussed in detail in [18, 19] and are summarized next [20].
Bamboo eect: Diusion typically occurs along the grain bound-
aries in an interconnect. High EM resilience can be achieved with
conductor cross-sections smaller than grain sizes. In this case, grain
boundaries are perpendicular to the direction of diusion.
Short-length eect: Any interconnect length below a threshold
length (“Blech length”) will not fail by EM. Here, mechanical stress
buildup causes a reverse stress migration (SM) which compensates
for the EM ow.
Reservoirs: Reservoirs increase the maximum permissible current
density by supporting the aforementioned SM eect to partially
neutralize EM. Reservoirs can, however, have an adverse eect on
reliability in nets with current-ow reversals, as the (useful) SM is
reduced in this case.
Via congurations: The robustness of interconnects fabricated
with dual-damascene technology depends on whether contact is
made through vias from “above” (via-above) or “below” (via-below).
It is easier to avoid EM in segments with via-below congurations
than with via-above congurations, as the former tolerate higher
current densities due to their higher permissible void volumes.
Redundant vias: Multiple vias improve robustness against EM
damage. They should be placed “in line” with the current direction
so that all possible current paths have the same length. Current dis-
tribution is then uniform and there is no local detrimental increase
in current density between vias.
Frequencies: The high frequencies normally encountered in sig-
nal nets reduce EM damage more than in power supply nets or
very low-frequency nets under otherwise comparable operating
conditions. Hence, dierent current-density limits must be assigned
to these “net classes” in EM analysis.
3 EM-AWARE LAYOUT DESIGN AND MISSION
PROFILES IN INDUSTRIAL PRACTICE
3.1 Obtaining EM-Aware Design Rules Using
Mission Proles
The key for EM-aware design is to prevent the EM failure mecha-
nism from causing a permanent damage or an excessive degrada-
tion of the interconnect metallization. This is achieved by obeying
EM-aware design rules (subsequently “design rules”) for the dimen-
sioning of interconnects, contacts/vias and their local surroundings.
EM failures are greatly reduced in short connections due to the
aforementioned short-length eect, but they must be considered
for interconnects exceeding this layer-specic length limit. Design
rules for these (long) interconnects are dened by maximum, av-
erage and DC current-density limits that depend on temperature,
layer and quality goals. The design rules for interconnects must
also account for root-mean-square and peak currents in order to
prevent excessive Joule heating in interconnects by limiting the
self-heating to a maximum permitted temperature increase.
Relevant design rules for a particular interconnect segment de-
pend on a variety of technology-, design- and use-case-specic
factors. In any case, the design rules which result in the largest in-
terconnect dimensions or via numbers, must be considered during
layout design. In the remainder of this section, we will discuss how
design rules are derived for interconnects that do not benet from
the short-length eect. We also assume here that self-heating is
considered separately.
Modern semiconductor technologies are typically applicable to
a wide variety of applications for consumer, industrial, automotive
and other safety-critical use cases. Applications targeted for dier-
ent use cases face dierent environmental conditions and quality
goals. Among others, the JEDEC Solid State Technology Associa-
tion (JEDEC) [
13
] and the Automotive Electronics Council (AEC)
[
2
] released several standards on (1) how to characterize and to
qualify a semiconductor technology with respect to intrinsic and
extrinsic failure mechanisms and (2) how to scale technology and
design parameters for specic use cases. With respect to EM, these
standards include JEP001A, JEP119A, JEP122H, JESD63, JESD87,
JESD202 and AECQ-100.
Design technology
Technology corners
Mission profile
Technology characterization
Characteristic design rules
EM design rule derivation
Reference design rules
EM design rule scaling
Effective design rules Physical design
Physical verification
and signoff
Fig. 4: General ow for technology characterization and the
derivation of EM design rules [14, 19].
The derivation of the parameters of the EM-failure model during
technology characterization is done under temperature-accelerated
conditions (JESD63, JESD202 [
13
]). Next, the current density
jchar
ICCAD ’18, November 5–8, 2018, San Diego, CA, USA S. Bigalke, J. Lienig, G. Jerke, J. Scheible and R. Jancke
used during the characterization of a particular layer and tempera-
ture
Tchar
must be scaled (using Black’s Equation [
6
]) to one or more
technology-specic reference conditions
jmax,ref
at
Tref
while con-
sidering reliability goals (Fig. 4). These goals are, for example, the
permitted cumulated percentage of failed interconnects (typically
CDF =
1
e8. . .
1
e11
) over the targeted application lifetime. These
values, including their scaling factors, are typically provided in the
design rule manual of a semiconductor technology. For automotive
applications, the AECQ standards [
2
] dene several grades of max-
imum operating (junction) temperatures, application lifetimes and
reliability goals. They are used to validate and qualify a technology
and to provide xed boundaries for the design rule derivation.
For the design of an individual application, all relevant use cases
and operating phases must be taken into account to (1) choose the
correct technology-specic reference condition (and subsequently
the corresponding reference design rule
jmax,ref
at
Tref
) or (2) to
derive application-specic “eective” design rules
jmax,e
at
Te
(see Fig. 4). These use cases and operating phases are dened in
so-called “mission proles”. A mission prole describes and links all
environmental and operating conditions as well as functional loads
which an application has to sustain during production, storage, ship-
ping, assembly and operation [
14
,
24
]. For EM, the combinations of
ambient temperature and the cumulated duration (e.g., 1000 h at
398 K + 300 h at 423 K) describe the relevant environmental condi-
tions, whereas the terminal currents of a net represent functional
loads.
The general approach for deriving “eective” design rules (
jmax,e
at
Te
) for a particular layer is given as follows. The technology char-
acterization provides the models and factors to scale the permitted
current-density limit under consideration of reliability goals (CDF,
lifetime) and varying operating temperatures (JESD63, JESD202
[
13
]). First, the particular temperatures and durations of all operat-
ing phases are used to calculate an EM-specic eective temperature
Te
using Black’s Equation [
6
]. Second, the eective current-density
limit
jmax,e
at
Te
is then derived from the reference conditions
jmax,ref
at
Tref
using the given or derived EM-failure model scaling
factors. This approach ensures that the statistical number of inter-
connect failures due to EM are identical for the application-specic
use cases and the technology-specic reference conditions.
The detailed procedure to derive and scale current-density limits
is beyond the scope of this tutorial paper due to space limitations.
The procedure and the general mission-prole-aware design ap-
proach is discussed in detail in [14] and [19].
3.2 EM-Aware Layout Design in Industrial
Practice
In general, layout designers consider EM requirements through
sucient dimensioning of interconnect widths and using adequate
via numbers with respect to maximum allowable values of cur-
rent density and specied chip temperature. However, the optimal
solutions are not always obvious in real layouts. This subsection
provides some useful advices on how to improve the EM robust-
ness of metallization patterns in typical layout situations. While
the examples consider analog layout (where manual intervention
is common), most of the advices are applicable to digital layout
patterns as well.
First, we want to raise the attention on inhomogeneous current
ows, which always happen if currents have to change their direc-
tion. This leads to unequally distributed current densities over the
cross section of an interconnect, causing locally increased current
densities.
Interconnects are “drawn” in horizontal and vertical directions
in typical routing structures. For changing the direction within
one metal layer, the interconnects have to be bent. The current
density in the corners of such bends shows an increase by a fac-
tor Kcompared to the homogeneously owing current in a straight
interconnect, as illustrated in Fig. 5. Due to limited accuracy of pat-
terning techniques, corners are (fortunately) not sharp, but exhibit
a certain rounding. Analytical calculations (based on conformal
mapping) in [
8
] and [
9
] show that Kdepends (1) on the angle of the
bend and (2) on the normalized rounding radius
R=r/w
, where
ris the rounding radius and wthe interconnect width. Based on
the formula for right-angled bends and values of
rw
from
[
9
], the factor K
90
can be approximately quoted to 3, 6, and 13
for
R=
0
.
1
,
0
.
01
,and
0
.
001, respectively. If minimal interconnect
widths are used (as in digital circuits), K
90
can be assumed to be
smaller than 3, because we are there in the scale of the technology
feature size and thus an R>0.1can be expected.
w
jmax =K90 j
j
(a)
2w
jmax =K45 j
j
w
(b)
Fig. 5: Increase of current densities in interconnects bent in
angles of 90 degrees (a) and 45 degrees (b). The current den-
sity jis indicated by the distance of current ow lines (in
black) and the shading from green (low j) to red (high j).
The discussed current-density increase must be taken into ac-
count if the dimensioning of an interconnect width must be close
to the EM-critical value. This is especially critical for power lines,
which can have extensive widths and thus very small
R<
0
.
01. A
signicant improvement can be achieved by inserting an interme-
diate diagonal step into the bend as shown in Fig. 5b. Applying the
method presented in [
8
], it can be seen that the factor K
45
(R) is
reduced to about half of K
90
(R). We recommend to size the length
of the diagonal path segment at least twice as long as the width w.
Otherwise, the desired eect cannot be achieved. If this measure
cannot lower the current density suciently, the corner should be
rounded manually with R1.
If the change from vertical to horizontal interconnect direction
is (1) combined with a change of the metal layer and (2) the total
current requires a via array, a similar problem can occur. Vias
are uniformly shaped in today’s technologies. Thus, a maximum
allowable current
ivia, max
for one via can be enforced in order to
ensure EM-robustness. If a via array of nvias is located directly at
the crossing of the horizontal and vertical interconnects as shown in
The Need and Opportunities of Electromigration-Aware Integrated Circuit Design ICCAD ’18, November 5–8, 2018, San Diego, CA, USA
Fig. 6a, the layout designer should be aware that the “innermost” via
has to conduct a multiple of the total current divided by n. This can
easily lead to an EM problem if the layout designer has erroneously
assumed that a number of nvias is enough for a total current of
n×ivia, max
. Therefore, a number of redundant vias should be spent
as shown in Fig. 6b. If there is not enough space for an enlarged
via array, the change of layers and directions should be uncoupled
as shown in Fig. 6c. In this solution, the current is distributed
uniformly over the via array because the current paths through all
vias have similar lengths and, thus, similar overall resistances.
Overloaded
via
(a)
Redundant
vias
(b)
Uniformly
loaded vias
(c)
Fig. 6: Via arrays (black) connecting two neighboring metal
layers (orange and brown). If this array connects perpendic-
ularly arranged interconnects, the “inner” via(s) can be af-
fected by EM through current overload (a). EM robustness
can be achieved by enlarged via arrays (b) or by placing the
via array such that the current does not change the lateral
direction (c).
Our third example shows that even the often followed rule of
thumb “the more metal and vias, the better” can sometimes be
misleading due to EM. Figure 7 illustrates two MOS transistors
T1
and
T2
sharing the same source potential. Their source currents
I1
and
I2
are led away in an upper metal layer (metal 2 in brown),
which might have a lower sheet resistance. The total current
I1+I2
ows to the right as indicated by the arrows. In case (a), the two
sources are connected using as much as possible metal 1, vias, and
metal 2 areas. However, the result of this layout is that a remarkable
portion of
I1
is owing through the metal 1 source pin of
T2
, which
is in parallel to the metal 2 line. This can exceed the EM critical
value of metal 1 causing EM damage. This problem is mitigated
in case (b) by punching out metal 1, vias and metal 2 between the
two source pins of
T1
and
T2
. This leads to a routing of
T1
and
T2
where the upper right metal 2 region acts as star point, collecting
the separated currents I1and I2.
T1I1T2I2
I1+I2
Active layer
Poly
Contact
M1
Via
M2
(a)
T1I1T2I2
I1+I2
Star point
(b)
Fig. 7: Two MOS transistors with common source potential.
In (a), the current of T1partly ows through the metal 1
layer of T2’s source pin, causing an EM risk there. Cutting
out metals and vias between the source pins enables a star
routing constellation, reducing the problem in (b).
4 INTERACTION OF THERMAL EFFECTS,
THERMAL MIGRATION AND
ELECTROMIGRATION
Temperature is strongly inuencing the dierent migration mecha-
nisms in metal material. The probability of issues due to thermally
activated migration eects becomes more prominent with ongoing
technology development [
1
]. Areas with increased local tempera-
ture suer from higher probability of dislocation than cooler areas.
One important aspect is temperature gradients within intercon-
nects. Signicant temperature dierences drive the eect of TM,
which is a material migration towards cooler temperature regions.
The root cause for a temperature gradient, on one hand, is internal
Joule heating in the metal interconnects, due to the current ow
and a non-zero resistance. On the other hand, external heat sources
or sinks contribute to the gradients due to power dissipation in
the active devices or nearby cooling metal, like thermal vias and
thorough-silicon vias (TSVs).
Even uniformly distributed heating may cause issues due to
dierent coecients of thermal expansion (CTE mismatch), as this
leads to mechanical stress gradients that induce SM.
Local temperatures are also of central inuence for the EM eect
as the required activation energy needed to dislocate atoms is low-
ered at higher temperatures. Therefore, the maximum permissible
current density drops down by a factor of 10 when increasing the
temperature by 100K [19] (Fig. 8).
0 25 50 75 100 125 150 175 200
0.1
1
10
100
Interconnect temperature Tuse in C
Normalized current density
Jmax/Jmax,@T=110C
Fig. 8: Temperature dependency of the maximum current
density of an AlCu interconnect structure, normalized to a
maximum current density initially determined at 110C.
Lloyd [
23
] suggested two separate current density exponents
n
in Black’s equation [
6
] for the two dierent mechanisms, void
nucleation and void growth. Hauschildt et.al. [
10
] found that both
exponents show individual thermal characteristics.
TM and EM are characterized by complex mutual dependencies,
as depicted in Fig. 9. Current density and the resulting temperature
increase directly amplify EM. Thermal gradients induce TM, leading
to dierences in the atomic concentration. Thereby TM indirectly
inuences EM as another source of material migration. As a result,
TM and EM may either self-amplify or compensate each other,
depending on the initial driving force(s).
Current density
Temperature
Diffusivity Concentration
Electromigration
Thermal migration
Driving force Atomic migration
gInfluenceg
Fig. 9: Mutual dependencies and inuences of EM and TM.
ICCAD ’18, November 5–8, 2018, San Diego, CA, USA S. Bigalke, J. Lienig, G. Jerke, J. Scheible and R. Jancke
Increased local heating directly results from higher device den-
sity due to smaller technology nodes. It also corresponds to higher
power density if voltage and current levels are not decreased at
the same amount as the technology shrinks. New device types, like
FinFETs, gate-all-around, and nanowires, hinder heat removal from
the active devices. Using buried oxides as an alternative technology
path to minimize leakage currents also prevents heat dissipation
through the substrate and, hence, increases interconnect tempera-
ture. The same is true for advanced packaging technologies, like
ip-chip, where heat has to be transported through the metal stack
to heat sinks on the PCB, or 3D integration where heat might be
trapped in the die stack, resulting in local overheating.
Predicting accurate local temperatures and gradients at dierent
heights of the technology stack is therefore crucial for a meaningful
assessment of failure probability. Ideally, this evaluation is carried
out “full chip” in order to identify thermal hot spots, determine
mutual electro-thermal interactions, and calculate the overall fail-
ure rate. Moreover, this allows assessing the on-chip temperature
distribution based on realistic application scenarios as well as ther-
mal boundary conditions of the package. The awareness for these
topics is currently increasing. However, true thermal simulation
at chip level, coupled with an electrical simulation and including
package level conditions, is not yet part of today’s design ows.
5 METHODS FOR EM-AWARE DESIGN IN
FUTURE TECHNOLOGY NODES
Shifting from a traditional (post-layout) EM verication towards
a robust (pro-active) EM-aware design requires adjustments and
new approaches for the various physical design stages. This sec-
tion provides some potential EM countermeasures, focusing on
routing methodologies that designers can apply to increase the EM
robustness of the layout.
5.1 Towards a Robust EM-Aware Design
As one can see from history, EM has already endangered the IC
future once, when aluminum was the main interconnect material.
At that time, EM problems were solved by a technology change
(alloying the aluminum interconnects with copper) [
22
]. However,
such a technology change remains very expensive, hence layout
solutions are preferred. This is why the electronic design automa-
tion (EDA) community has a good potential to reduce costs and
improve sustainability by compensating EM.
Nowadays, EM is only addressed if EM violations are detected
in the verication step. However, post-layout repairs of these vi-
olations are becoming too time consuming. Therefore, a shift is
needed from “verify” to “create” EM-robust solutions for critical
nets. (Nets with the highest currents and longest wire lengths are
considered critical nets.)
EDA tools have been able to include timing or manufacturability
aspects in physical design for many years. The next needed func-
tionality is a hardening of layouts against EM. This functionality
probably requires additional die area or routing resources. However,
this is worth the price to ensure reliable ICs in future, as it is still
cheaper than frequently failing electronic devices. Nevertheless,
any EM countermeasures should not simply be applied to all nets,
but only to the most critical.
Especially, the placement and routing steps have a high potential
of generating EM-robust solutions because they strongly inuence
the EM parameters, temperature, interconnect geometry, and cur-
rent density [3].
In the placement step, one could reduce the wire length of critical
nets to mitigate EM, as a shorter net has a higher chance to gener-
ate a low-stress solution in the subsequent routing step. Another
opportunity is to move critical cells out of hot temperature regions
because high temperatures amplify the EM impact.
An obvious solution for EM-aware routing would be to increase
the interconnect width, which, however, would counteract inter-
connect shrinking. Hence, we propose to focus on the reservoir and
length eects (see Sec. 2.2), as well as the increased EM-robustness
of special via congurations. An extended investigation of these
eects follows in the next section helping to understand the benet
of each of the proposed routing methodologies.
5.2 EM-Aware Routing Methodologies
Net topologies: Nowadays, the rectilinear Steiner minimum tree
(RSMT) and trunk tree are the main net topologies used in routers
to minimize both the wire length (WL) and routing congestion.
However, net topologies can be further optimized regarding EM
robustness by reducing EM-induced stress [
5
]. Obviously, these
solutions need more routing resources than the traditional ones.
Figure 10 contains examples of three dierent net topologies, each
characterized with stress (σ) and WL.
-3i
i
i
i
-3i
i
i
i
WL = 6l
100σ
-75σ
l
(a)
-3i
i
i
i
l
-3i
i
i
i
WL = 7l
95σ
-62σ
(b)
-3i
i
i
i
-3i
i
i
i
WL = 10l
37σ
-61σ
l
(c)
Fig. 10: A four-pin net containing one output driving three
inputs with current i. The RSMT net topology in (a) results
in the lowest WL, but highest stress. The trunk net topology
in (b) enables slightly less stress than (a) but increases the
WL. The net topology in (c) leads to the greatest EM robust-
ness (i.e., the least stress) but results in the longest WL.
Reservoirs: Reservoirs are known to inuence EM. However,
their principle physical behavior is often misunderstood. Figure 11
visualizes with three examples the inuence of reservoirs on the EM-
induced stress within the interconnect in the steady-state condition.
The following basic rules can be derived from Fig. 11 and applied
when handling reservoirs:
(a)
Reservoir locations aected by tensile (compressive) stress
shift the interconnect stress towards compressive (tensile)
stress,
(b)
the higher the interconnect stress at the reservoir location,
the greater the shift of the interconnect stress caused by the
reservoir, and
(c)
the longer the reservoir, the greater the shift of the intercon-
nect stress.
The Need and Opportunities of Electromigration-Aware Integrated Circuit Design ICCAD ’18, November 5–8, 2018, San Diego, CA, USA
e-
restens rescomp
0 0.5 1
-1
0
1
σtens
σcomp
l/lmax
σ
/σmax
rescomp
w/o res
restens
(a)
e-
reslow reshigh
0 0.5 1
-1
0
1
σtens
σcomp
l/lmax
σ
/σmax
reshigh
reslow
w/o res
(b)
e-
res2l resl
0 0.5 1
-1
0
1
σtens
σcomp
l/lmax
σ
/σmax
res2l
resl
w/o res
(c)
Fig. 11: (a) The shift of the interconnect stress caused by
a reservoir (res) depends on whether tensile (tens) or com-
pressive (comp) stresses occur at the reservoir location. The
shift of the interconnect stress increases with the stress at
the reservoir location (b) and the reservoir length (c).
Length limitations: The limitation of the interconnect length
can be very eective to avoid EM damages. Usually, the goal is to
divide a long interconnect into several short interconnects below
the Blech length (see Sec. 2.2) as shown in Fig. 12. Therefore, all
segments become “EM immortal,” thus, preventing the formation
of voids or hillocks. The disadvantage is that much more routing
resources are needed due to the additional layer changes.
l0lmax
e-
0 0.5 1
-1
0
1
σthreshold
l/lmax
σ
/σmax
t1t2tsteady state
(a)
l0lmax
M2
M1
e-
0 0.5 1
-1
0
1
σthreshold
l/lmax
σ
/σmax
t1t2tsteady state
(b)
Fig. 12: (a) Stress within an interconnect on a single routing
layer, cf. Fig. 3. (b) Dividing the interconnect from (a) into
several small interconnects below the Blech length is keep-
ing their stress levels below the critical EM threshold.
The high demand for routing resources can make the use of
only short segments impracticable. An alternative approach is to
balance the length per routing layer. This saves routing resources
and compensates for the load on each part of the connection, as
shown in Fig. 13.
l0lmax
e-
M2
M1
0 0.5 1
-1
0
1
l/lmax
σ
/σmax
t1t2tsteady state
(a)
l0lmax
M2
M1
e-
0 0.5 1
-1
0
1
l/lmax
σ
/σmax
t1t2tsteady state
(b)
Fig. 13: The unbalanced lengths between routing layers in (a)
causes greater stress than the balanced interconnect lengths
in (b) with the latter not increasing the demand for routing
resources as in Fig. 12.
Cross-section widening: The reduction of EM-induced stress by
increasing the interconnect cross section might be an obvious so-
lution as it reduces the driving force of EM, i.e., current density.
Unfortunately, this counteracts the desired shrinking of the IC
structures. One way of using this eect without counteracting the
structural reduction is to exploit the dierent interconnect dimen-
sions within a metal stack. Usually, higher routing layers
(e.g., M8)
use larger cross sections than lower ones (e.g., M1). The aim here is
to shift EM-critical interconnects to higher routing layers in order
to reduce the current density and, thus, the EM-induced stress. This
can signicantly reduce the stress and, therefore, improve the EM-
robustness, requiring only few additional routing resources (Fig. 14).
l0lmax
e-
0 0.5 1
-1
0
1
l/lmax
σ
/σmax
t1t2tsteady state
(a)
M2
M3
Area
l0lmax
e-
0 0.5 1
-1
0
1
l/lmax
σ
/σmax
t1t2tsteady state
(b)
Fig. 14: (a) Stress within an interconnect on a routing layer
with a relatively small cross-sectional area. (b) Stress reduc-
tion within the interconnect of (a) when shifted to a higher
layer allowing a larger cross-sectional area.
Redundant vias: The initial objective of redundant via insertion
is to insert as many vias as possible. In order to harden layouts
against EM, the objective should be expanded by the stress-related
consequences of the insertion [
4
] because interconnects under high
stresses tend to fail earlier than interconnects under lower stresses.
For this reason, interconnects under high stresses benet more
from redundant vias than interconnects under low stresses (Fig. 15).
Net 1
Net 2
(a)
Net 1
Net 2
(b)
Fig. 15: (a) One redundant via inserted in net 1 blocks redun-
dant vias of net 2 due to spacing rules. (b) Two redundant
vias inserted in net 2 hinder an insertion in net 1. Conse-
quently, if net 1 experiences greater stress than net 2 (and,
hence, net 1 is more likely to suer from EM damage), solu-
tion (a) should be preferred to solution (b) (and vice versa).
Via-above and via-below congurations:
As mentioned in Sec. 2.2,
via-below congurations enable a longer lifetime than via-above
congurations because the critical void volume is signicantly
larger in via-below congurations. In the dual-damascene tech-
nology, voids are mainly formed between the interconnect and its
capping layer. Therefore, direct currents (e.g. in power nets) form
voids well above or directly below vias (Fig. 16a). However, alter-
nating currents (e.g. in signal nets) form voids close to the middle
of interconnects [
21
] eliminating the dierence between via-above
and via-below congurations (Fig. 16b).
ICCAD ’18, November 5–8, 2018, San Diego, CA, USA S. Bigalke, J. Lienig, G. Jerke, J. Scheible and R. Jancke
Void
e-
e-
M2
M1 Void
Via-above
Via-below
Capping layer
Diffusion barrier
(a)
Voids
e-e-
M2
M1
Via-above
Via-below
Capping layer
Diffusion barrier
(b)
Fig. 16: (a) Via-below congurations enable a greater criti-
cal void volume than via-above congurations in case of di-
rect currents. (b) Via congurations are not relevant when
applying alternating currents due to the void location(s) in
the middle range of the interconnect.
Upper and lower lead: A countermeasure against EM for both
direct and alternating currents are additionally introduced diusion
barriers from vias connecting the interconnect “from above”. The
authors in [
25
] show that lower leads (interconnect contacted by
vias from above) allow a longer lifetime than upper leads (inter-
connect contacted by vias from below), as show in Fig. 17. The
reason is that the migration of atoms mainly occurs between the
capping layer and the interconnect in the dual damascene technol-
ogy. Therefore, a via from a routing layer above interrupts this main
migration path, resulting in an lower stress prole and an increase
of EM robustness (see Fig. 17b). Consequently, an insertion of addi-
tional vias from higher routing layers increases the robustness of
the underlying interconnect. This eect is independent of whether
these vias carry current or not. Therefore, this methodology needs
only a few additional routing resources to mitigate EM.
Diffusion
barrier
Capping layer
M2
M1
lmax
l0
Migration path
e-
e-e-
0 0.5 1
-1
0
1
l/lmax
σ
/σmax
t1t2tsteady state
(a)
M2
M1 e-
e-
e-
Diffusion barrier
Capping layer
l0lmax
Mig. path 2Mig. path 1
0 0.5 1
-1
0
1
l/lmax
σ
/σmax
t1t2tsteady state
(b)
Fig. 17: (a) The upper lead case results in greater stress than
the lower lead case in (b) because the main migration path
is blocked by the via diusion barrier in (b).
6 SUMMARY
According to current forecasts, up-to-date technologies are only
partially reliable against the expected circuit degradation caused
by electromigration. Even worse, future technologies smaller than
8 nm will be completely unreliable if eective countermeasures are
not put in place in time [
12
]. EM-aware IC design methodologies
are therefore required in future technology nodes.
The objective of this tutorial has been to present measures that
can be exploited in present and future technologies in order to
curtail the negative impact of electromigration on circuit reliability,
and overcome an increasingly severe VLSI problem.
After introducing the fundamentals of EM and its mitigating ef-
fects in physical design, we presented how EM-aware design rules
can be determined and discuss application examples of EM-robust
metallization patterns. Furthermore, IC designers must be especially
aware of thermal eects and thermal migration; both have been
introduced and investigated as well. Finally, we presented method-
ologies for layout synthesis, which are EM robust by construction,
in order to support shifting from a traditional (post-layout) EM
verication towards our goal of an EM-aware design methodology.
REFERENCES
[1] O. Aubel, C. Hennesthal, M. Hauschildt, A. Beyer, J. Poppe, G. Talut, M. Gall, J. Hahn, J. Boem-
mels, M. Nopper, and R. Seidel. 2011. Backend-of-line reliability improvement options for
28nm node technologies and beyond. In 2011 IEEE Int. Interconnect Technology Conference. 1–3.
https://doi.org/10.1109/IITC.2011.5940295
[2] Automotive Electronics Council (AEC). 2018. http://www.aecouncil.com.
[3] S. Bigalke, T. Casper, S. Schöps, and J. Lienig. 2018. Increasing EM robustness of placement
and routing solutions based on layout-driven discretization. In Proc. of 2018 14th Conf. on Ph.D.
Research in Microelectronics and Electronics (PRIME). 89–92. https://doi.org/10.1109/PRIME.
2018.8430323
[4] S. Bigalke and J. Lienig. 2016. Load-aware redundant via insertion for electromigration
avoidance. In Proc. of the 2016 ACM Int. Symposium on Physical Design (ISPD’16). 99–106.
https://doi.org/10.1145/2872334.2872355
[5] S. Bigalke and J. Lienig. 2018. FLUTE-EM: Electromigration-optimized net topology consid-
ering currents and mechanical stress. In Proc. of 26th IFIP/IEEE Int. Conf. on Very Large Scale
Integration (VLSI-SoC).
[6] J. R. Black. 1969. Electromigration - A brief survey and some recent results. IEEE Trans. on
Electron Devices 16, 4 (1969), 338–347. https://doi.org/10.1109/T-ED.1969.16754
[7] S. Chatterjee, V. Sukharev, and F. N. Najm. 2018. Power Grid Electromigration Checking Us-
ing Physics-Based Models. IEEE Trans. on Computer-Aided Design of Integrated Circuits and
Systems 37, 7 (July 2018), 1317–1330. https://doi.org/10.1109/TCAD.2017.2666723
[8] T. N. Gerasimenko and P. A. Polyakov. 2011. Application of Conformal Mapping Technique to
Problems of Direct Current Distribution in Thin Film Wires Bent at Arbitrary Angle. Technical
Report. Cornell University Library. https://arxiv.org/abs/1112.0506v2
[9] F. B. Hagedorn and P. M. Hall. 1963. Right-angle bends in thin strip conductors. Journal of
Applied Physics 34, 1 (1963), 128–133. https://doi.org/10.1063/1.1729052
[10] M. Hauschildt, C. Hennesthal, G. Talut, O. Aubel, M. Gall, K. B. Yeap, and E. Zschech. 2013.
Electromigration early failure void nucleation and growth phenomena in Cu and Cu(Mn)
interconnects. In 2013 IEEE International Reliability Physics Symposium (IRPS). 2C.1.1–2C.1.6.
https://doi.org/10.1109/IRPS.2013.6531951
[11] IEEE International Roadmap for Devices and Systems (IRDS). 2018. https://irds.ieee.org/.
[12] International Technology Roadmap for Semiconductors 2.0 (ITRS 2.0). 2015. More Moore.
http://www.itrs2.net/itrs-reports.html.
[13] JEDEC Solid State Technology Association ( JEDEC). 2018. https://www.jedec.org/.
[14] G. Jerke and A. B. Kahng. 2014. Mission prole aware IC design: A case study. In Proc. of the
Conference on Design, Automation & Test in Europe (DATE’14). 1–6. https://doi.org/10.7873/
DATE.2014.077
[15] G. Jerke, J. Lienig, and J. Scheible. 2004. Reliability-driven layout decompaction for electro-
migration failure avoidance in complex mixed-signal IC designs. In Proc. of the 41st Annual
Design Automation Conference (DAC’04). 181–184. https://doi.org/10.1145/996566.996618
[16] M. A. Korhonen, P. Børgesen, K. N. Tu, and Che-Yu Li. 1993. Stress evolution due to elec-
tromigration in conned metal lines. Journal of Applied Physics 73, 8 (Apr. 1993), 3790–3799.
https://doi.org/10.1063/1.354073
[17] J.Lienig. 2006. Introduction to electromigration-aware physical design. In Proc. of the 2006 Int.
Symposium on Physical Design (ISPD’06). 39–46. https://doi.org/10.1145/1123008.1123017
[18] J.Lienig. 2013. Electromigration and Its Impact on Physical Design in Future Technologies. In
Proc. of the 2013 ACM Int. Symposium on Physical Design (ISPD’13). 33–40. https://doi.org/10.
1145/2451916.2451925
[19] J.Lienig and M. Thiele. 2018. Fundamentals of Electromigration-Aware Integrated Circuit Design.
Springer,Cham, 978-3-319-73557-3 (print), 978-3-319-73558-0 (ebook). https://doi.org/10.1007/
978-3- 319-73558-0
[20] J. Lienig and M. Thiele. 2018. The pressing need for electromigration-aware physical design.
In Proc. of the ACM 2018 Int. Symposium on Physical Design (ISPD’18). 144–151. https://doi.
org/10.1145/3177540.3177560
[21] B. K. Liew, N. W. Cheung, and C. Hu. 1990. Projecting interconnect electromigration lifetime
for arbitrary current waveforms. IEEE Trans. on Electron Devices 37, 5 (May 1990), 1343–1351.
https://doi.org/10.1109/16.108197
[22] J. R. Lloyd. 2002. Electromigration for Designers: An. Introduction for the Non-specialist. Tech-
nical Report. EE Times. https://www.eetimes.com/document.asp?doc_id=1275855
[23] J. R. Lloyd.2007. Black’s law revisited—Nucleation and growth in electromigration failure.Mi-
croelectronics Reliability 47, 9 (2007), 1468–472. https://doi.org/10.1016/j.microrel.2007.07.094
[24] Mission Prole Format (MPFO). 2018. https://www.mpfo.org/.
[25] Y. J. Park, P. Jain, and S. Krishnan. 2010. New electromigration validation: Via Node Vector
Method. In 2010 IEEE Int. Reliability Physics Symposium. 698–704. https://doi.org/10.1109/
IRPS.2010.5488746
[26] V. Sukharev and E. Zschech. 2004. A model for electromigration-induced degradation mech-
anisms in dual-inlaid copper interconnects: Eect of interface bonding strength. Journal of
Applied Physics 96, 11 (2004), 6337–6343. https://doi.org/10.1063/1.1805188
[27] C. V. Thompson. 2008. Using line-length eects to optimize circuit-level reliability. In 2008
15th Int. Symposium on the Physical and Failure Analysis of Integrated Circuits. 1–4. https:
//doi.org/10.1109/IPFA.2008.4588155
[28] K. Weide-Zaage, F. Dalleau, and X. Yu. 2003. Static and dynamic analysis of failure locations
and void formation in interconnects due to various migration mechanisms. Materials Science in
Semiconductor Processing 6, 1–3 (2003), 85–92. https://doi.org/10.1016/S1369-8001(03)00075-1
... A decreased current density may be accomplished by lower currents, increased wire widths and redundant vias. Wire length can be reduced by introducing layer changes, as the metal liners act as diffusion barriers blocking metal atom migration [19]. For an extensive study on the effectiveness of those measures as well as on their demand of routing resources, please refer to [4]. ...
Conference Paper
Full-text available
The reliable operation of ICs is subject to physical effects like electromigration, thermal and stress migration, negative bias temperature instability, hot-carrier injection, etc. While these effects have been studied thoroughly for IC design, threats of their subtle exploitation are not captured well yet. In this paper, we open up a path for security closure of physical layouts in the face of reliability effects. Toward that end, we first review migration effects in interconnects and aging effects in transistors, along with established and emerging means for handling these effects during IC design. Next, we study security threats arising from these effects; in particular, we cover migration effects-based, disruptive Trojans and aging-exacerbated side-channel leakage. Finally, we outline corresponding strategies for security closure of physical layouts, along with an outline for CAD frameworks.
Article
Full-text available
Micro/nano electromechanical systems and nanodevices often suffer from degradation under electrical pulse. However, the origin of pulse-induced degradation remains an open question. Herein, we investigate the defect dynamics in Au nanocrystals under pulse conditions. By decoupling the electron wind force via a properly-designed in situ TEM electropulsing experiment, we reveal a non-directional migration of Σ3{112} incoherent twin boundary upon electropulsing, in contrast to the expected directional migration under electron wind force. Quantitative analyses demonstrate that such exceptional incoherent twin boundary migration is governed by the electron-dislocation interaction that enhances the atom vibration at dislocation cores, rather than driven by the electron wind force in classic model. Our observations provide valuable insights into the origin of electroplasticity in metallic materials at the atomic level, which are of scientific and technological significances to understanding the electromigration and resultant electrical damage/failure in micro/nano-electronic devices. The origin of the degradation of nanodevices under electrical pulses still remains unknown. Here, Li et al. reveal the mechanism of direct electron-dislocation interaction by decoupling the electron wind force using in situ electropulsing, providing atomistic insight into the electroplasticity.
Article
Semiconductor aging and its causes have been well understood, however until recently, aging has not been a design concern. The expected lifetime of integrated circuit (IC) components has been far longer than their intended applications. As device geometries are reduced, consideration early in the design process to aging and product lifetimes become more significant for successful IC design and development, especially at 7 nm technologies. Lifetime was typically pushed at the end of the design process as signoff. Lifetime was deemed acceptable, if the electromigration rules weren't violated. This viewpoint is shifting, from what is allowed, to what the IC mission profile needs. A designer needs to know how much, more or less, lifetime tradeoff with performance, power and cost can be achieved within the mission profile constraints. This paper describes a design space exploration methodology using mission profiles that moves electromigration lifetime tradeoffs early into the design cycle, without the need to re-characterize the process, while working within the existing technology library. The main goal of these tradeoffs is to improve the IC lifetime at the expense of performance for critical applications, and increase the performance at the expense of lifetime for commercial applications.
Article
Studies on further IC development mutually predict that the reliability of future integrated circuits (ICs) will be severely endangered by the occurrence of electromigration (EM). The reason for the increasing number of EM damages are the ongoing structural reductions in the IC. Digital circuits are particularly at risk because they have been neglected in the consideration of EM, resulting in a lack of suitable EM measures. For this reason, a paradigm shift in physical design must be accomplished, complementing the traditional EM verification step after layout creation with a proactive EM-robust physical synthesis. This work presents the necessary adaptations and new approaches by modifying the routing step of digital circuits, resulting in an EM-robust routing result. Our contribution includes the development of EM models, the derivation of EM-suppressing measures, and finally the consideration of these countermeasures in an EM-robust routing process. In summary, our work is an important contribution to increase the EM robustness in digital layouts, thereby ensuring the reliability of future ICs.
Chapter
Reliability of electronic circuits is becoming an increasing concern due to the ongoing downscaling of the structural dimensions and the continuous increase in performance requirements. This final chapter addresses the many options available to a layout designer, given the enormous influence of physical design on circuit reliability. Hence, the goal of this chapter is to summarize the state of the art in reliability-driven physical design and related mitigating measures. We start by presenting reliability issues that can lead to temporary circuit malfunctions. We discuss in this context parasitic effects in the bulk of silicon (Sect. 7.1), at its surface (Sect. 7.2), and in the interconnect layers (Sect. 7.3). Our main goal is to show how these effects can be suppressed through appropriate layout measures. After having presented temporarily-induced malfunctions and their mitigation options, we discuss the growing challenges of preventing ICs from irreversible damage. This requires the investigation of overvoltage events (Sect. 7.4) and migration processes, such as electromigration, thermal and stress migration (Sect. 7.5). Again, not only do we discuss the physical background to this damage, we also present appropriate mitigation measures.
Conference Paper
Full-text available
Electromigration (EM) is becoming a progressively intractable design challenge due to increased interconnect current densities. It has changed from something designers "should" think about to something they "must" think about, i.e., it is now a definite requirement. The on-going IC-down-scaling is producing physical designs with ever-smaller feature sizes, which can easily lead to current densities that exceed their maximum allowable values. This invited talk introduces the fundamentals of EM, its interactions with thermal and stress migration, and presents appropriate modelling and simulation methodologies. Following a summary of EM-inhibiting effects in physical design, we propose ways of facilitating EM-compliant layout design in future technology nodes.
Conference Paper
Full-text available
The ongoing shrinking of interconnects in integrated circuits (ICs) induces reliability issues caused by electromigration (EM), including void-induced failure mechanisms in IC vias. We propose a new post-routing approach to insert redundant vias specially targeted for EM avoidance. Our algorithm compares all possible insertions and utilizes the configuration with the highest reliability gain. This is achieved by considering the connecting segment loads. These loads are an estimation of the risk involved in creating EM-induced voids as a continuous function of current density, segment length and stress development over time. Inserting vias in those segments with highest loads, our approach efficiently increases circuit reliability by reducing EM effects. We were able to reduce the total, average and maximum via load for the MCNC benchmark suite on average by 6.6%, 4% and 13.9%, respectively. The increase in via reliability was confirmed by subsequent modeling of EM-inducing factors.
Conference Paper
Full-text available
Electromigration (EM) is one of the key concerns going forward for interconnect reliability in integrated circuit (IC) design. Although analog designers have been aware of the EM problem for some time, digital circuits are also being affected now. This talk addresses basic design issues and their effects on electromigration during interconnect physical design. The intention is to increase current density limits in the interconnect by adopting electromigration-inhibiting measures, such as short-length and reservoir effects. Exploitation of these effects at the layout stage can provide partial relief of EM concerns in IC design flows in future.
Conference Paper
Full-text available
Electromigration early failure void nucleation and growth phenomena were studied using large-scale, statistical analysis methods. A total of about 496,000 interconnects were tested over a wide current density and temperature range (j = 3.4 to 41.2 mA/μm2, T = 200 to 350°C) to analyze the detailed behavior of the current density exponent n and the activation energy Ea. The results for the critical V1M1 downstream interface indicate a reduction from n = 1.55±0.10 to n = 1.15±0.15 when lowering the temperature towards 200°C for Cu-based interconnects. This suggests that the electromigration downstream early failure mechanism is shifting from a mix of nucleation-controlled (n = 2) and growth-controlled (n = 1) to a fully growth-controlled mode, assisted by the increased thermal stress at lower temperatures (especially at use conditions). For Cu(Mn)-based interconnects, a drop from n = 2.00±0.07 to n = 1.64±0.2 was found, indicating additional effects of a superimposed incubation time. Furthermore, at lower current densities, the Ea value seems to drop for both Cu and Cu(Mn) interconnects by a slight, but significant amount of 0.1 - 0.2eV. Implications for extrapolations of accelerated test data to use conditions are discussed. Furthermore, the scaling behavior of the early failure population at the NSD=-3 level (F~0.1%) was analyzed, spanning 90, 65, 45, 40 and 28 nm technology nodes.
Book
The book provides a comprehensive overview of electromigration and its effects on the reliability of electronic circuits. It introduces the physical process of electromigration, which gives the reader the requisite understanding and knowledge for adopting appropriate counter measures. A comprehensive set of options is presented for modifying the present IC design methodology to prevent electromigration. Finally, the authors show how specific effects can be exploited in present and future technologies to reduce electromigration's negative impact on circuit reliability. © Springer International Publishing AG, part of Springer Nature 2018. All rights are reserved.
Article
Due to technology scaling, electromigration (EM) signoff has become increasingly difficult, mainly due to the use of inaccurate methods for EM assessment, such as the empirical Black’s model. In this paper, we present a novel finite-difference based approach for power grid EM checking using physics-based models, that can account for process, voltage and temperature variations across the die. Our main contribution is to extend existing physical models for EM in metal branches to track EM degradation in multi-branch interconnect trees. The extended model is represented as a homogeneous Linear Time Invariant (LTI) system. We also detect early failures and account for their impact on grid lifetime. We speed up our implementation by proposing a macromodelling based filtering scheme and a predictor-based approach. Our results, for a number of IBM power grid benchmarks, confirm that Black’s model is overly inaccurate. The lifetimes found using our physics-based approach are on average 2.75x longer than those based on a (calibrated) Black’s model, as extended to handle mesh power grids. With a maximum runtime of 2.3 hours among all the IBM benchmarks, our method appears to be suitable for large VLSI circuits.
Conference Paper
Consistent consideration of mission profiles throughout a supply chain is essential for the development of robust electronic components. Consideration of mission profiles is still mainly a manual task today despite rapidly decreasing robustness margins in modern automotive semiconductor technologies. Mission profile awareness aids the automation of robustness aware design by formalizing and partially automating the generation, transformation, propagation and usage of all component-specific functional loads and environmental conditions for design implementation and validation. In addition, it aids the development of electronic components in yet immature technologies or in technologies with tight parameter variation bounds. This paper introduces the general concept, requirements and context of mission profile aware design. The general design approach is presented along with key differences and enhancements to existing design approaches. A case study focusing on mission profile usage and electromigration failure avoidance is presented to demonstrate various aspects of mission profile aware design.
Conference Paper
Electromigration (EM) is a traditional reliability concern, aggravated recently due to intense shrinking of wire sizes and the increase in the number of interconnections on a system-on-chip (SoC). Thereby, it challenges the state-of-the-art in design, physics, process and CAD processes. To that regard, we propose a new EM check method named Via Node Vector method. The conventional EM check ignores the lead EM interaction in circuits and only checks the local current densities. The new method addresses the EM interactions and checks the EM reliability at the lead connection sites (called via node). It converts the electrical current density of each lead into an effective current density for the EM interaction consideration. For this, we introduce three new factors: length (FL), width (FW), and interaction (FB). The effective current density divergence at a via node is derived as an addition of the effective current densities of all the interacting leads, which is a close proxy to represent the physical atomic flux divergence at the via node. This divergence at a via node can then be readily compared with the technology EM spec. The proposed method is successfully applied to 28nm node IPs and shows up to ~4X higher safe operating frequency than the conventional method allows. Additionally, it successfully identifies risky sites missed by conventional check. The Via Node Vector Method will provide higher performance with reliability in designing advanced digital and analog circuits.