Conference PaperPDF Available

Software defined radios for small satellites

Authors:

Abstract and Figures

Clusters, constellations, formations, or 'swarms' of small satellites are fast becoming a way to perform scientific and technological missions more affordably. As objectives of these missions become more ambitious, there are still problems in increasing the number of communication windows, supporting multiple signals, and increasing data rates over reliable intersatellite and ground links to Earth. Also, there is a shortage of available frequencies in the 2 m and 70 cm bands due to rapid increase in the number of CubeSats orbiting the Earth - leading to further regulatory issues. Existing communication systems and radio signal processing Intellectual Property (IP) cores cannot fully address these challenges. One of the possible strategies to solve these issues is by equipping satellites with a Software Defined Radio (SDR). SDR is a key area to realise various software implementations which enable an adaptive and reconfigurable communication system without changing any hardware device or feature. This paper proposes a new SDR architecture which utilises a combination of Field Programmable Gate Array (FPGA) and field programmable Radio Frequency (RF) transceiver to solve back-end and front- end challenges and thereby enabling reception of multiple signals or satellites using single user equipment.
Content may be subject to copyright.
Software Defined Radios for Small Satellites
Mamatha R. Maheshwarappa Christopher P. Bridges
Surrey Space Centre, Faculty of Electronic Engineering Surrey Space Centre, Faculty of Electronic Engineering
University of Surrey University of Surrey
Guildford, Surrey, United Kingdom – GU2 7XH Guildford, Surrey, United Kingdom – GU2 7XH
m.maheshwarappa@surrey.ac.u
k
c.p.bridges@surrey.ac.uk
Abstract - Clusters, constellations, formations, or ‘swarms’ of
small satellites are fast becoming a way to perform scientific and
technological missions more affordably. As objectives of these
missions become more ambitious, there are still problems in
increasing the number of communication windows, supporting
multiple signals, and increasing data rates over reliable
intersatellite and ground links to Earth. Also, there is a shortage
of available frequencies in the 2 m and 70 cm bands due to rapid
increase in the number of CubeSats orbiting the Earth – leading
to further regulatory issues. Existing communication systems and
radio signal processing Intellectual Property (IP) cores cannot
fully address these challenges. One of the possible strategies to
solve these issues is by equipping satellites with a Software
Defined Radio (SDR). SDR is a key area to realise various
software implementations which enable an adaptive and
reconfigurable communication system without changing any
hardware device or feature. This paper proposes a new SDR
architecture which utilises a combination of Field Programmable
Gate Array (FPGA) and field programmable Radio Frequency
(RF) transceiver to solve back-end and front- end challenges and
thereby enabling reception of multiple signals or satellites using
single user equipment.
Keywords - Software defined radio; front/back end challenges;
GNURadio
I.
I
NTRODUCTION
Small satellites are attractive due to reduced build time,
more frequent launch opportunities, larger variety of missions,
more rapid expansion of the technical and/or scientific
knowledge base, greater involvement of small industries and
universities [1, 2]. A recent example is the launch of Minotaur-
1 [3] and Dnepr-19 [4] with 63 small satellites added to Lower
Earth Orbit (LEO). This has created strain for licensing and
coordinating organizations, such as the Federal
Communications Commission (FCC) and the International
Amateur Radio Union (IARU) [5]. As mentioned at several
recent workshops [6, 7], the FCC and International
Telecommunication Union (ITU) are in process of clamping
down on licensing for small satellites – particularly in the VHF
band. It is, therefore, important to develop an efficient way of
utilizing this limited bandwidth resource. This expected
shortage of bandwidth has prompted the researchers to explore
new ways of efficiently using limited bandwidth [8, 9]. The
existing small satellite communication systems cannot fully
support these challenges.
One of the possible strategies to solve the above issues is
by equipping satellites with a Software Defined Radio (SDR) -
"Radio in which some or all of the physical layer functions are
software defined" [10]. SDRs offer functionalities otherwise
hard to achieve such as in-flight re-configurability, adaptability
and autonomy which enables limited subsystem re-design and
can develop towards a generic satellite communication
solution. These benefits offered by SDRs and the continuous
advances in commercial digital electronics have triggered the
interest of small satellites in advanced communication systems
[11]. This increase in subsystem performance can potentially
offer satellite communications to looser constraints on
modulation parameters based on link conditions, frequency
bands, Doppler uncertainties and data rates at minimum cost,
thereby making dynamic multiband access and sharing
possible. This flexibility and adaptability comes however with
the expense of power consumption and complexity.
Since its conception in 1995 [10], the growth of terrestrial
SDRs is exponential as seen in Fig. 1. They have evolved not
only in technology from receivers to transceivers but also in
size, mass and power requirements. Though the growth of
space SDRs is slower compared to terrestrial SDRs, the range
of options is continually increasing and a few of these have
found mainstream acceptance in various forms such as payload
on bigger satellites (STS-107 and Mars Reconnaissance
Orbiter (MRO)) [12] and as a test bed on International Space
Station (ISS) [13] which have flown in NASA/ESA missions.
Cadet was developed for DICE as a half-duplex UHF radio
system to provide the high data rate communications system
for a CubeSat [48]. A wide-band receiver (SWIFT-WRX) by
tethers unlimited is aimed at future small satellite missions
[49]. With the above evolution of radios, the future aim is to
have an integrated system of wide-band transceiver along with
sufficient on-board processing, high-speed interfaces and high
data rate communications, as these still remain as major
challenges for small satellites.
Despite the high costs associated with space, the cost of
building satellites has been reduced through two significant
trends: namely, the use of Commercial off-the-shelf (COTS)
parts and miniaturisation. This paper proposes one such COTS
solution to address the future problems of ever increasing band
utilisation and an increasing small satellite community
targeting distributed satellite missions. The combination of
COTS Radio Frequency (RF) front-end and back-end
technologies for space applications is promising to solve these
issues, referring to the evolution of transceivers and current
state-of-the-art systems. It also highlights the need for an
adaptable software platform to enable multiple-signal
reception, re-assign frequencies, occupied bandwidth, and
various wireless standards. Further to this, the final aim is to
optimise in terms of power consumption and cost which are
typical major satellite design constraints.
978-1-4799-5356-1/14/$31.00 ©2014 IEEE
172
2014 NASA/ESA Conference on Adaptive Hardware and S
y
stems (AHS)
II.
B
ACKGROUND
I
NFORMATION
This section gives an overview of the evolution of wireless
radios which have passed through several generations from
traditional heterodyne radios to software defined radios and
the review is based on [14] and [15].
A. Traditional Radios
Compared to super heterodyne architecture, zero-IF
(Intermediate Frequency) architecture has a clear reduction in
the number of analog components and also allows the use of a
filter having much less stringent specifications than that of the
image-reject filter used in super heterodyne architectures. As a
result, this architecture can make use of a high level
integration, making it a common architecture for multiband
receivers. A configuration similar to the zero-IF receiver is the
low-IF architecture [16] in which the RF signals are mixed
down to a non-zero low to moderate IF instead of going
directly to Direct Current (DC). The signal is converted to the
digital domain with an Analogue-to-Digital Converter (ADC)
of relatively robust performance, which allows the use of Field
Programmable Gate Arrays (FPGAs) for digital filtering for
channel-selection and also mitigate in-phase quadrature (I/Q)
imbalances. However, in low-IF architecture, the image
frequency problem is reintroduced and the ADC power
consumption is increased because now a higher conversion
rate is required. This was followed by band-pass sampling
receiver as seen in [17] and [18], which was a realizable
version of software radio and is called ‘Software Defined
Radio’.
B. Software Defined Radio (SDR)
A SDR is a form of transceiver in which ideally all aspects
of its operation are determined using versatile, general-purpose
hardware whose configuration is under software control. This
solution allows inexpensive, and efficient interoperability
between the available standards and frequency bands. The
concept of SDR first appeared with the work of Mitola [10] in
1995 as shown in Fig. 2. SDRs should be able to adapt to the
air interface by optimising the carrier frequency, modulation,
and choice of radio standard to minimise interference and
maintain communication in a given scenario.
Fig. 2. Architecture of ideal software defined radio [20]
A signal incident on the antenna port is routed to a Low
Noise Amplifier (LNA) through a circulator and is then
digitized. Demodulation and decoding are accomplished in
various modulation formats and access schemes using Digital
Signal Processing (DSP)/FPGA.
At the transmitter stage, the
baseband signals are generated and up-converted into
analogue waveforms, amplified, and band-pass filtered before
passing through the circulator and antenna. The comparison
between the traditional and the software defined radios is
given in Table 1:
TABLE I. T
RADITIONAL RADIOS V
/
S
S
OFTWARE
D
EFINED
R
ADIOS
Traditional radios
Software defined radios
Pros:
Limited processing and thus
selection of processor/
controller/ADC is less
critical
Cheap and readily available
Pros:
Flexible design: Multi-band/
multi-mode
Software based
reconfigurable platform
Upgradable during mission
lifetime
Cons:
Fixed design: Single-band/
single-mode
Complexity in hardware
More analogue components
Cross talk between the
narrow bands due to aging
Cons:
Complexity in software
Vulnerable to software
threats
Faster FPGAs and DSPs and
larger bandwidth ADCs are
required
Power Consumption
Fig.1. Evolution of terrestrial and space software defined radios
173
C. Generic Problems for Space
SDRs for Distributed Satellite Systems (DSS) will provide
flexibility that will allow deployed satellite communication
transceivers to be software upgraded according to advances in
algorithms and communication standards. However, SDRs for
space applications pose many challenges as mentioned below,
some of them causing SDR to evolve slower than anticipated:
1) Mission constellation scenario: As discussed in [21],
spacecraft crosslink communications are affected by orbital
dynamics, which impose a number of difficulties and
restrictions such as variable inter-satellite ranges and speeds,
variable ISL access for distributed operations. The specific
data rates depend directly on the choice of constellation
design, i.e., the range of the inter-satellite links (ISLs), but a
general description of the performance range expected from
such an S-band system is provided in Fig. 3. ISL range of most
of the targeted missions such as QB50 [22], STRaND-2 [23]
and ARReST [24] is <100 km and thus the data rate that this
research aims at will be <10Mbps.
Fig.3. Near Earth S-band communication rates at various transmit powers[25]
2) Frequency uncertainties with Doppler tracking
capabilities: Two Line Elements (TLEs) after the launch are
often different from the ones estimated before the launch and
NORAD typically takes few days to identify any new object in
space and even weeks when the objects are small (CubeSats)
and/or many (30+ satellites in single launch [3, 4]). The true
anomaly () may also differ during the launch/deployment and
as it is critical to establish the communication soon after the
launch, the receiver on-board is usually designed to be turned-
on only above a desired ground station which is common in
CubeSat mission power budgets. Due to aging, temperature
and Doppler effects the frequencies might shift/change few
kHz to MHz depending on the operating band from the
allotted centre frequency.
3) Signal fading: The relative velocity between satellites in
different orbits varies with time. The communication channel
of in-space transmission is mainly characterised by free-space
loss and thermal noise of the electronics, presumed to be
Additive White Gaussian Noise (AWGN) [26] and signal
fading due to mobility, antenna pointing, phase propagation
delay, attenuation caused by electron-neutron collisions and
refraction due to varying plasma density causing multi-path
effects. Also, the signals pass through the ionosphere with
effects such as scintillation, fading and Faraday rotation [27].
Between 300 MHz and 3 GHz, in which UHF, L and S band
lie, severe disruptions are possible during a solar storm [28]
which could affect ISL communications.
4) Reconfigurability time: Satellites in LEO with orbital
period of ~ 98 minutes revolve ~ 14.7 times/day. So, on an
average they visit a particular location on Earth 5-6 times/day
for about 5-15 minutes each, depending on the elevation. It is
therefore crucial to utilise this time efficiently to carry out
different tasks such as downloading beacon/telemetry and
payload data, tele-commanding the satellite and reconfiguring
the software modules when required.
5) Interference with adjacent channels: Fig. 4 shows the
reception of NOAA-18 signals along with the interference by
unknown sources and DC offset. This often makes decoding
difficult as the signal levels interfere with the desired signal.
Fig. 4. Reception of NOAA18 Signals & Interference
The architecture proposed needs to adapt transmission and
receiver parameters to avoid interference and maximize
spectral efficiency. To avoid causing interference, numerous
techniques can be used and combined such as frequency tuning
[29] (adaptive frequency hopping, dynamic frequency
selection and RF band switching), Orthogonal Frequency
Division Multiplexing (OFDM) sub-channelization [30],
channel aggregation [31], time multiplexing [32], power
control [33], modulation and coding for Quality of Signal
(QoS) adaptability [34], beam forming and space-time coding
for Multiple Input Multiple Output (MIMO) [35]. To maintain
link in adverse conditions, wide dynamic range especially for
ADC and high sensitive receiver with rapid adaptation to
changes in interference temperature are required. SDR will be
also based on strong cross layer interactions. For example, the
SDR management involves intelligent use of spectrum based
on anticipating the demand for spectrum by different satellites
and the number of satellites in view at a given point of time.
Desired Signal
DC offset
Interference
174
III.
P
ROPOSED
S
OLUTION
As discussed in the previous section space presents a
unique engineering environment with a new set of problems to
overcome. SDRs have evolved from a conceptual solution for
enabling multiple radio applications to a practical solution as a
product which are commercially available. This section
introduces new concepts with new test-bed options for
improving the flexibility of the SDR in space.
A. Detailed Transceiver
Detailed Zero-IF architecture for a triple-band Very High
Frequency (VHF), Ultra High Frequency (UHF) and S-band
transceiver for multi-mode applications such as Ground-to-
Earth and Inter-Satellite Links (ISLs) is proposed in Fig. 5.
VHF/UHF bands are selected for uplink/downlink for
following reasons:
There are more ground facilities/ amateur
communities to communicate in these bands across
the world which would help to increase the
communication window.
It is easier and cheaper to establish VHF/UHF ground
station when compared to other bands.
S-band is selected for Inter-Satellite link as high data rates
can be achieved which would help satellites to exchange data
faster. VHF/UHF and S-band require separate antennas and
thus separate RF Front end. SDR analogue domain comprises
of frequency selection (Band pass filters), frequency
conversion (Low pass and output filters) and the gain control
(Variable Gain Amplifier (VGA)) functionalities whereas the
digital domain includes rest of the functionalities such as
modulation/demodulation, encoding/decoding and
frequency/phase/amplitude offset correction. The focus is to
move the digital domain as close as possible to the antenna
towards achieving an ideal SDR scenario when compared to
traditional radios where even the frequency correction and
modulation are achieved in analogue domain as seen in Fig. 5.
The components in the architecture are grouped to distinguish
between the RF front-end and back-end. The SDR transceiver
blocks can be implemented independently with a combination
of front and backend technologies such as digital TV dongles
and single-board embedded computers or using commercially
available end-to-end options such as Matchstiq [36], Bitshark
[37], or BladeRF [38].
To have a better understanding of the
front-end and back- end blocks before implementing on the
hardware they can also be simulated using tools namely; Eldo
from Mentor Graphics [39] and GNU-radio [40] respectively.
GNU-radio was chosen as it has a large support base and is
open-source.
B. GNU-radio
GNU-radio is used as a simulation tool to understand the
working of the existing/generated filters, channel codes,
synchronisation elements, equalisers, demodulators, decoders
and other processing blocks using pre-recorded or generated
data. This is a GUI that runs on Linux machine. GNU-radio
applications are primarily written using the Python
programming language [41], while the supplied performance-
critical signal-processing path is implemented in C++.To
implement C/C++ code of GNU-radio flow graph on any
embedded system, it needs proper understanding of the
modules such as GNU-radio modules for analogue/digital
modulation/ demodulation, FFTs, designing FIR filters and
plotting data, choosing/defining and configuring blocks, and
connecting blocks. Complete understanding of the above vital
modules along with others as in [42] would help in profiling
GNURadio on the embedded system. Having experimented on
GNURadio, the implementation of appropriate blocks was
carried out on using Surrey Space Centre’s BA and BB
antennas and a FUN-Cube Dongle (FCD) [43].
Fig.5. Transceiver Conceptual Block Diagram
175
Fig.6 shows the flow graph of GNURadio where the
recorded signal from NASA Phonesat [44] is selected,
demodulated and filtered around DC. Muller and Muller based
clock recovery block provides the discrete time error tracking
synchroniser with complex input and complex output. Then the
signal is converted to digital data which is then decoded as
seen in Fig.8. The frequency spectrum of the signal can be
viewed using the Graphical User Interface (GUI) blocks as
seen in Fig. 7 which aids to better understand and debug the
signals.
Fig. 7. NASA PhoneSat Received Frequency Spectrum
Fig. 8. Decoded data from NASA Phonesat
IV.
P
RACTICAL
W
ORK
This section includes a practical investigation of different
platforms and results at the time of writing this paper. The
platforms include SmartFusion2 [45] with FunCube Dongle
(FCD) [43] and Zedboard [46] with Zipper and MyriadRF [47]
boards.
A. Testbed 1
The SF2 [45] was chosen as the initial test-bed. The
architecture includes an ARM Cortex M3 and additional
FPGA fabric on a single device. Initial tests were carried out
on the SF2 such as configuring the Linux kernel, interfacing
the FCD and running utilities such as FCD Control [50] used
to set the frequency and gain as seen in Fig. 9.
Fig. 9. FCD Control on Linux Machine
The practical work demonstrated here has initially looked
into porting and characterising the performance of existing
SDR software chains in an embedded system towards proving
the SDR concept. A hardware test-bed has been formulated
using the SF2 starter kit as a platform to investigate the
existing tools and demonstrating Linux software environment.
FCD is proposed in literature and now practically used to show
that the commercially available front end technologies work
not only with Desktop-PCs but also with the embedded system
such as SF2. However, this implementation of the concept is
missing key SDR features:
Fig. 6 – GNU-radio Flow Graph
176
A direct interface to the received IQ signal – instead,
the FCD utilises the online ARM Cortex and Linux
software to receive an input stream which could be
piped into applications. This is far from ideal as the
IQ signal must traverse all layers of the Open System
Interconnection (OSI) stack.
The USB interface is also a potential bottleneck as the
speed is limited to 480 Mbps.
Transmit functionality – this key function is
completely missing from this test-bed
.
B. Testbed 2
To overcome the above limitations, the new test-bed
includes Zedboard [46] along with Lime Microsystems’ Zipper
and MyriadRF boards [47] as seen in Fig. 10. It has an
advantage of high throughput, direct interface which supports
higher data rates, transmit functionality using the MyriadRF.
Fig. 10. Test-bed 2: Interface of Zedboard and Zipper board
Fig. 11 and 12 shows the transmission of a carrier wave
from the Zynq System-on-Chip (SoC) development board and
the MyriadRF board. As a demonstration, the signal is
reconfigured and centred at 2 GHz and 437 MHz respectively.
Fig. 11. Transmission of Carrier Wave at 2 GHz
Fig. 12. Transmission of Carrier Wave at 437 MHz
Fig. 13 shows the reception of carrier wave on MyriadRF.
The signal was transmitted from a signal generator at 2 GHz
for demonstration. Investigating the data requirements show
the ADC/DAC requirements for the Zynq device is 23 MB/s.
Therefore the internal SRAM of 32 KB would be able to hold
the recorded IQ signals for about approximately 1.4 ms. This
will be implemented as a circular buffer and polled by the
faster ARM Cortex-A9 core running up to 800 MHz for
demodulation and packet handling.
Fig. 14. SDR Architecture
177
Fig. 13. Reception of Carrier Wave on MyriadRF
The Test-bed 2 configuration is planned as seen in Fig. 14
to implement a division of software functions in both the dual-
core ARM processors and associated FPGA fabric. The
distribution of the functions between the FPGA fabric and
dual-processor is based on performance tests of GNURadio
filter blocks on Zedboard. This will be repeated for other
blocks in future to estimate where bottlenecks exist. The
system diagram generated by Xilinx Processing System (XPS)
is shown in Fig. 15. The processing_system (2 x Cortex – A9)
controls the signal and data flow of the blocks over Advanced
eXtensible Interface 4 (AXI4). This is the initial step towards
implementing the software blocks discussed in Fig.14.
Fig. 15. Xilinx XPS System Diagram
V.
S
UMMARY
The review on efficient use of limited bandwidth and
increasing small satellite missions concludes that there is need
for a generic yet configurable communication platform that
can handle multiple signals from multiple satellites, various
modulation techniques, data rates and frequency bands that can
fit in to the requirements of small satellite. SDR is beneficial
for space applications as it provides the flexibility and re-
configurability and this is driven by the fast development
times, new found heritage, cheap, and low mass COTS
interfaces. The implementation of a new combined system-on-
chip (SoC) and SDR communication platform enables a
reduction in cost as well as mass. Also, different parallelisation
techniques for ADC/DAC/FPGA will enable a reduction in
power consumption by improving the computational capacity,
which is an important factor in the design of small satellites.
Current work has looked into various possible approaches
to implement signal processing. To begin with, FCD was
interfaced on the available embedded board with Test-bed 1,
this had many challenges such as setting up servers getting the
details of the detected FCD and building the libraries for the
board. Test-bed 2 overcomes the above problems and this
could be adapted to implement the future SDR technologies.
The novelty here is to combine the state-of-art SDR hardware
and open source software tool towards a new communication
platform on embedded systems aimed at small satellite
missions. Also, this research aims to enable advanced
parallelised SDR back-end technologies in a COTS embedded
system that can support multi-signal processing for multi-
satellite scenarios towards a generic software methodology for
space applications that will remain unaltered despite new
evolutions in hardware.
A
CKNOWLEDGMENT
The authors would like to thank Dr. Brian Yeomans,
Research Fellow, Surrey Space Centre for his valuable time,
discussions and contributions towards the paper and Lime
Microsystems who have gratefully provided Zedboards, Zipper
and MyriadRF boards.
R
EFERENCES
[1] R. Sandau, K. Brieß, and M. D’Errico, "Small satellites for global
coverage: Potential and limits," ISPRS Journal of Photogrammetry and
Remote Sensing, vol. 65, pp. 492-504, 2010.
[2] D. J. Barnhart, T. Vladimirova, and M. N. Sweeting, "Very-Small-
Satellite Design for Distributed Space Missions," Journal of Spacecraft
and Rockets, vol. 44, pp. 1294-1306, 2007/11/01 2007.
[3] Minotaur I launch 2013. Available:
http://www.cubesat.org/index.php/missions/upcoming-launches/135-
ors3-launch-alert [Last accessed: October 2013]
[4] Dnepr-19 Launch 2013. Available:
http://space.skyrocket.de/doc_lau_det/dnepr-1.htm [Last accessed:
October 2013]
[5] B. Klofas, "Upcoming CubeSat launches: The Flood has arrived,"
presented at the AMSAT-NA Symposium Houston, Texas, 2013.
[6] T. Gergely and A. Clegg, "CubeSat Issues: Where Are We?," presented
at the CubeSat High Speed Downlink Communications meeting, held
during the 9th Annual CubeSat Developers Workshop, San Luis Obispo,
California. , April 2012.
[7] P. B. d. Selding, "Spectrum Cops Advising Small-satellite Owners of
Obligations," September 2013.
[8] W. Horne, P. Weed, and D. Schaefer, "Adaptive spectrum radio: A
feasibility platform on the path to dynamic spectrum access."
[9] C. Cordeiro, K. Challapali, D. Birru, and N. Sai Shankar, "IEEE 802.22:
the first worldwide wireless standard based on cognitive radios," in New
178
Frontiers in Dynamic Spectrum Access Networks, 2005. DySPAN 2005.
2005 First IEEE International Symposium on, 2005, pp. 328-337.
[10] J. Mitola, "The software radio architecture," Communications
Magazine, IEEE, vol. 33, pp. 26-38, 1995.
[11] D. R. a. L. M. Reyneri, "Software defined transceivers design in nano
and picosatellites," in International Astronautical Conference Torino,
Italy 2012.
[12] B. Hamdaoui and K. G. Shin, "Maximum Achievable Throughput in
Multiband Multiantenna Wireless Mesh Networks," Mobile Computing,
IEEE Transactions on, vol. 9, pp. 838-849, 2010.
[13] R. C. Reinhart, T. J. Kacpura, S. K. Johnson, and J. P. Lux, "NASA's
space communications and navigation test bed aboard the international
space station," Aerospace and Electronic Systems Magazine, IEEE, vol.
28, pp. 4-15, 2013.
[14] V. Giannini, J. Craninckx, S. D'Amico, and A. Baschirotto, "Flexible
baseband analog circuits for software-defined radio front-ends," Solid-
State Circuits, IEEE Journal of, vol. 42, pp. 1501-1512, 2007.
[15] M. Puvaneswari and O. Sidek, "Wideband analog front-end for
multistandard software defined radio receiver," in Personal, Indoor and
Mobile Radio Communications, 2004. PIMRC 2004. 15th IEEE
International Symposium on, 2004, pp. 1937-1941 Vol.3.
[16] V. J. Arkesteijn, E. A. M. Klumperink, and B. Nauta, "A wideband
high-linearity RF receiver front-end in CMOS," in Solid-State Circuits
Conference, 2004. ESSCIRC 2004. Proceeding of the 30th European,
2004, pp. 71-74.
[17] R. G. Vaughan, N. L. Scott, and D. R. White, "The theory of bandpass
sampling," Signal Processing, IEEE Transactions on, vol. 39, pp. 1973-
1984, 1991.
[18] D. M. Akos, M. Stockmaster, J. B. Y. Tsui, and J. Caschera, "Direct
bandpass sampling of multiple distinct RF signals," Communications,
IEEE Transactions on, vol. 47, pp. 983-988, 1999.
[19] P. Cruz, N. B. Carvalho, and K. A. Remley, "Designing and Testing
Software-Defined Radios," Microwave Magazine, IEEE, vol. 11, pp. 83-
94, 2010.
[20] P. Cruz and N. B. Carvalho, "PAPR evaluation in multi-mode SDR
transceivers."
[21] T. Vladimirova, C. P. Bridges, J. R. Paul, S. A. Malik, and M. N.
Sweeting, "Space-based wireless sensor networks: Design issues," in
Aerospace Conference, 2010 IEEE, 2010, pp. 1-14.
[22] H. Bedon, C. Negron, J. Llantoy, C. M. Nieto, and C. O. Asma,
"Preliminary internetworking simulation of the QB50 cubesat
constellation," in Communications (LATINCOM), 2010 IEEE Latin-
American Conference on, 2010, pp. 1-6.
[23] C. Bridges, B. Taylor, N. Horri, C. Underwood, S. Kenyon, J. Barrera-
Ars, L. Pryce, and R. Bird, "STRaND-2: Visual inspection, proximity
operations & nanosatellite docking," in Aerospace Conference, 2013
IEEE, 2013, pp. 1-8.
[24] C. Underwood, S. Pellegrino, V. Lappas, C. Bridges, B. Taylor, S.
Chhaniyara, T. Theodorou, P. Shaw, M. Arya, and J. Breckinridge,
"Autonomous Assembly of a Reconfiguarble Space Telescope
(AAReST)–A CubeSat/Microsatellite Based Technology Demonstrator,"
2013.
[25] B. C. Gunter and D. C. Maessen, "Space-Based Distributed Computing
Using a Networked Constellation of Small Satellites," Journal of
Spacecraft and Rockets, vol. 50, pp. 1086-1095, 2013.
[26] A. Budianu, T. J. W. Castro, A. Meijerink, and M. J. Bentum, "Inter-
satellite links for cubesats," in Aerospace Conference, 2013 IEEE, 2013,
pp. 1-10.
[27] IPS radio and space services a guide to space radiation. Available:
http://www.ips.gov.au/Educational/1/2/5 [Last accessed: January 2014]
[28] E. Afraimovich, V. Demyanov, A. Ishin, an d G. Y. Smolkov, "Powerful
solar radio bursts as a global and free tool for testing satellite broadband
radio systems, including GPS–GLONASS–GALILEO," Journal of
Atmospheric and Solar-Terrestrial Physics, vol. 70, pp. 1985-1994,
2008.
[29] N. Van Tam, F. Villain, and Y. Le Guillou, "Cognitive radio systems:
Overview and challenges," in Awareness Science and Technology
(iCAST), 2011 3rd International Conference on, 2011, pp. 497-502.
[30] M. I. Rahman, "Channelization, link adaptation and multi-antenna
techniques for OFDM (A) based wireless systems," Aalborg University,
2007.
[31] L. Jiao, V. Pla, and F. Y. Li, "Analysis on channel bonding/aggregation
for multi-channel cognitive radio networks," in Wireless Conference
(EW), 2010 European, 2010, pp. 468-474.
[32] F. Javed and A. Mahmood, "The use of time frequency analysis for
spectrum sensing in cognitive radios," in Signal Processing and
Communication Systems (ICSPCS), 2010 4th International Conference
on, 2010, pp. 1-7.
[33] H. S. T. Le and L. Qilian, "An Efficient Power Control Scheme for
Cognitive Radios," in Wireless Communications and Networking
Conference, 2007.WCNC 2007. IEEE, 2007, pp. 2559-2563.
[34] M. Taki and F. Lahouti, "Link adaptation design for interfering
cognitive radio with QoS constraints," in Telecommunications (ICT),
2010 IEEE 17th International Conference on, 2010, pp. 435-441.
[35] T. Khomyat, P. Uthansakul, and M. Uthansakul, "Hybrid-MIMO
receiver with both space-time coding and Spatial Multiplexing
detections for Cognitive Radio networks," in Intelligent Signal
Processing and Communications Systems (ISPACS), 2011 International
Symposium on, 2011, pp. 1-4.
[36] Matchstiq product overview. Available:
http://epiqsolutions.com/matchstiq/matchstiq_flyer.pdf [Last accessed:
January 2014]
[37] Bitshark product overview. Available:
http://www.epiqsolutions.com/express-rx/ [Last accessed: January 2014]
[38] BladeRF product overview. Available: http://www.nuand.com/ [Last
accessed: January 2014]
[39] Eldo from Mentor Graphics. Available:
http://tesla.unh.edu/courses/ece715/tut1c%281%29.htm [Last accessed:
January 2014]
[40] GNUradio overview. Available:
http://gnuradio.org/redmine/projects/gnuradio/wiki [Last accessed:
January 2014]
[41] Python programming language. Available:
http://gnuradio.org/redmine/projects/gnuradio/wiki/TutorialsWritePytho
nApplications#Coding-Python-GNU-Radio-Applications
[42] Understanding GNU-radio Flow Graph. Available:
http://gnuradio.org/redmine/projects/gnuradio/wiki/TutorialsWritePytho
nApplications#Understanding-flow-graphs [Last accessed: Jan'14]
[43] FunCube Dongle Pro. Available:
http://www.funcubedongle.com/?page_id=1057 [Last accessed: Jan'14]
[44] J. Gozalvez, "Smartphones Sent into Space [Mobile Radio]," Vehicular
Technology Magazine, IEEE, vol. 8, pp. 13-18, 2013.
[45] Smart Fusion2 product overview. Available:
http://www.microsemi.com/products/fpga-soc/soc-fpga/smartfusion2
[Last accessed: January 2014]
[46] Zedboard produc t overview. Available: http:/ /www.zedboard.org/ [Last
accessed: January 2014]
[47] MyriadRF product overview. Available: http://myriadrf.org/ [Last
accessed: January 2014]
[48] J. Gunther, C. Fish, C. Swenson, and T. Moon, "Reliable space-to-Earth
communication as a secondary service in the 460–470 MHz band,"
International Journal of Satellite Communications and Networking,
2014.
[49] N. Voronka, T. Newton, P. Gagon, and A. Chandler, "Enabling Radio
Crosslink Technology for High Performance Coordinated
Constellations" in Small Satellite Conference , August 2013.
[50] FunCube Control Utility. Available:
http://www.oz9aec.net/index.php/funcube-dongle/479-the-funcube-
dongle-propro-on-the-raspberry-pi [Last accessed: January 2014]
179
... Maheshwarappa et al. [56], [57] proposed an SDR architecture based on an FPGA SoC and two A9 processors paired with an RF programmable transceiver SoC to support multi-CubeSat communications; reception of multiple signals using a single user equipment. The proposed system is not only meant for a portable ground station but also for an onboard CubeSat transceiver. ...
... Regarding the bandwidth utilization of this system, the VHF and UHF bands were used for uplink/downlink while the S-band was used for inter-satellite link [57]. VHF and UHF were used for ground communications because there were more ground facilities using them thus increasing the communication window and because it was easier and cheaper to build VHF/ UHF ground stations [57]. ...
... Regarding the bandwidth utilization of this system, the VHF and UHF bands were used for uplink/downlink while the S-band was used for inter-satellite link [57]. VHF and UHF were used for ground communications because there were more ground facilities using them thus increasing the communication window and because it was easier and cheaper to build VHF/ UHF ground stations [57]. While the S-band was chosen for inter-satellite communication to provide higher data rate [57]. ...
Article
Full-text available
Small satellite communications recently entered a period of massive interest driven by the uprising commercial and civil space applications and motivated by various technological advances. Miniaturized satellites, known as CubeSats, are particularly attractive due to their low development and deployment costs which makes them very promising in playing a central role in the global wireless communication sector with numerous applications ranging from Earth imaging and space exploration to military applications. Moreover, constellations of CubeSats in low Earth orbits (LEOs) can meet the increasing demands of global-coverage low-cost high-speed flexible connectivity. However, this requires innovative solutions to overcome the significant challenges facing high-data-rate low-power space communications. This paper provides a comprehensive review of the design, protocols, and architectures of state-of-the-art CubeSat communication subsystems with a particular focus on their baseband structures. The literature is surveyed in detail to identify all design, testing, and demonstration stages as well as accurately describe the systems’ architectures and communication protocols. The reliability, performance, data rate, and power consumption of the reviewed systems are critically compared and evaluated to understand the limitations of existing CubeSat transceivers and identify directions of future developments. It is concluded that CubeSat communication subsystems still face many challenges, namely the development of energy-efficient high-speed transceivers that satisfy CubeSats’ cost, mass, size, and power constraints. Nevertheless, several directions for improvements are proposed such as the use of improved channel coding algorithms, Field Programmable Gate Arrays (FPGAs), beamforming, advanced antennas, deployable solar panels, and transition to higher frequency bands. By providing a concrete summary of existing CubeSat on-board transceiver designs and critically evaluating their unique features and limitations as well as offering insights about potential improvements, the review should aid CubeSat developers, researchers, and companies to develop more efficient high data rate CubeSat transceivers.
... Block diagram of the triple-band transceiver [28]. ...
... For the channel coding, an FEC scheme is adopted based on concatenated code using Viterbi (rate ½) and two Reed-Solomon (160, 128) blocks [27]. The working of this FEC encoder is illustrated in Fig. 4. Regarding the bandwidth utilization of this system, the VHF and UHF bands were used for uplink/ downlink while the S-band was used for inter-satellite link [28]. VHF and UHF were used for ground communications because there were more ground facilities using them thus increasing the communication window and because it was easier and cheaper to build VHF/ UHF ground stations [28]. ...
... The working of this FEC encoder is illustrated in Fig. 4. Regarding the bandwidth utilization of this system, the VHF and UHF bands were used for uplink/ downlink while the S-band was used for inter-satellite link [28]. VHF and UHF were used for ground communications because there were more ground facilities using them thus increasing the communication window and because it was easier and cheaper to build VHF/ UHF ground stations [28]. While the S-band was chosen for inter-satellite communication to provide higher data rate [28]. ...
Preprint
Full-text available
p> This survey <sup>1 </sup>fills in an important gap in the literature on CubeSat communication systems’ designs and architectures. The paper <sup>2 </sup>comprehensively reviews the design details in-depth and <sup>3 </sup>critically evaluates the performance and reliability of the reviewed systems by analyzing the demonstration and testing results and illustrating implicit assumptions in the reviewed works. Furthermore, the paper <sup>4 </sup>proposes an original CubeSat communication system categorization based on the design approach and <sup>5 </sup>analyzes the features and limitations of each category. Consequently, this leads to identifying the limitations of current CubeSat communication systems and the promising future developments that can boost CubeSat communications capabilities. </p
... Block diagram of the triple-band transceiver [28]. ...
... For the channel coding, an FEC scheme is adopted based on concatenated code using Viterbi (rate ½) and two Reed-Solomon (160, 128) blocks [27]. The working of this FEC encoder is illustrated in Fig. 4. Regarding the bandwidth utilization of this system, the VHF and UHF bands were used for uplink/ downlink while the S-band was used for inter-satellite link [28]. VHF and UHF were used for ground communications because there were more ground facilities using them thus increasing the communication window and because it was easier and cheaper to build VHF/ UHF ground stations [28]. ...
... The working of this FEC encoder is illustrated in Fig. 4. Regarding the bandwidth utilization of this system, the VHF and UHF bands were used for uplink/ downlink while the S-band was used for inter-satellite link [28]. VHF and UHF were used for ground communications because there were more ground facilities using them thus increasing the communication window and because it was easier and cheaper to build VHF/ UHF ground stations [28]. While the S-band was chosen for inter-satellite communication to provide higher data rate [28]. ...
Preprint
Full-text available
Small satellite communications recently entered a period of massive interest driven by the uprising space applications. CubeSats are particularly attractive due to their low development costs which makes them very promising in playing a central role in the global wireless communication sector with numerous applications. Moreover, constellations of CubeSats in low-earth orbits can meet the increasing demands of global-coverage flexible low-cost high-speed connectivity. However, this requires innovative solutions to overcome the significant challenges that face high-data-rate low-power space communications. This paper provides a comprehensive and critical review of the design and architecture of recent CubeSat communication systems with a particular focus on their baseband architectures. The literature is surveyed in detail to identify all baseband design, testing, and demonstration stages as well as accurately describe the systems architecture and communication protocols. The reliability, performance, data rate, and power consumption of the reviewed systems are critically evaluated to understand the limitations of current CubeSat systems and identify directions of future developments. It is concluded that CubeSat communication systems still face many challenges, namely the development of energy-efficient high-speed modems that satisfy CubeSats requirements. Nevertheless, there are several promising directions for improvements such as the use of improved coding algorithms, use of Field Programmable Gate Arrays, multiple access techniques, beamforming, advanced antennas, and transition to higher frequency bands. By providing a concrete summary of current CubeSat communication systems and by critically evaluating their features, limitations, and offering insights about potential improvements, the review should aid CubeSat developers to develop more efficient and high data rate systems.
... Software-defined radio (SDR) is a flexible technology that enables the design of an adaptive communications system. Accordingly, a generic hardware design can be used to address various communication needs, with varying frequencies, modulation schemes and data rates [1]. The radio implementation process includes setting the filtering parameters, such as the pass and stop band frequencies, as well as digital quadrature transformations and data rate adjustments using up and down sampling processes. ...
... Since we excluded the atmospheric loss, the power loss difference is equal to the difference in free space loss (FSL) between the edge points of the pass calculated by Equation (1) [8]. FSL = 20 log (4πd/λ) (1) where The main goal of the research is to dynamically change the data rate of the transmission so that it can exploit the change in the channel. This would increase the quantity of data that can be delivered within the short communication period available for satellites in low Earth orbit (LEO). ...
Article
Full-text available
Software-defined radio (SDR) devices have made a massive contribution to communication systems by reducing the cost and development time for radio frequency (RF) designs. SDRs opened the gate to programmers and enabled them to increase the capabilities of these easily manipulated systems. The next step is to upgrade the reconfigurability into adaptability, which is the focus of this paper. This research contributes to improving SDR-based systems by designing an adaptable packet communication transmitter and receiver that can utilize the communication window of CubeSats and small satellites. According to the feedback from the receiver, the transmitter modifies the characteristics of the signal. Theoretically, the system can adopt many modes, but for simplicity and to prove the concept, here, the changes are limited to three data rates of the Gaussian minimum shift keying (GMSK) modulation scheme, i.e., 2400 bps GMSK, 4800 bps GMSK and 9600 bps GMSK, which are the most popular in amateur small satellites. The system program was developed using GNU Radio Companion (GRC) software and Python scripts. With the help of GRC software, the design was simulated and its behavior in simulated conditions observed. The transmitter packetizes the data into AX.25 packets and transmits them in patches. Between these patches, it sends signaling packets. The patch size is preselected. Alternatively, the receiver extracts the data and saves it in a dedicated file. It directly replies with a feedback message whenever it gets the signaling packets. Based on the content of the feedback message, the characteristics of the transmitted signal are altered. The packet rate and the actual useful data rate are measured and compared with the selected data rate, and the packet success rate of the system operating at a fixed data rate is also measured while simulating channel noise to achieve the desired Signal-to-Noise Ratio (SNR).
... The software-defined distributed spacecraft formation flying relative state measurement refers to the calculation of the center and the software as a means to complete the measurement function [102]. Functions such as communication and relative measurement load, which are traditionally realized by subsystems, are realized via software [103]. ...
Article
Full-text available
High-precision relative-state measurement technology is one of the key technologies for achieving the precision formation flying of distributed spacecraft. This paper conducts a comprehensive analysis of the precision formation-flying projects of distributed spacecraft in various countries. In the context of practical application, the specific mission configuration, orbit distribution, measurement technology, and payload of the project are summarized. On this basis, the relative state measurement techniques are outlined for the first time, using non-autonomous measurement techniques, autonomous measurement techniques, and new composite relative measurement techniques. A detailed analysis of GNSS (Global Navigation Satellite System)—relative measurement, laser measurement, infrared measurement, RF measurement, visible light visual measurement, and multiple composite measurement methods is conducted. The applicable scenarios of each measurement method are thoroughly discussed from several aspects, such as the technical scheme, system design, accuracy requirements, advantages, and shortcomings. In addition, this paper proposes the concept of adopting a multidisciplinary optimization architecture from the perspective of the overall design of the precision formation of the distributed spacecraft. It enables relative-state measurement payload selection and property indicator optimization, on the premise of optimizing the overall formation performance. Finally, the optimization direction and future development trend of the spacecraft precision formation flight project and relative state measurement technology are established.
... GRC is a environment which enables the development of processing blocks to simulate communication systems [14]. Its interface allows the creation of Flow Graphs in which the stages are aggregated to form the models [15], [16], as can be seen in Fig. 3. ...
... The advantages of SDR technologies have also been identified by the space flight community [45,46]. SDRs were initially used for experimental payload designs, primarily used by universities and research institutes for small satellite and CubeSat missions due to their high integrity and low-power consumption [47]. Agencies such as the national aeronautics and space administration (NASA) started their investigation into SDR system development to ensure re-usable and re-programmable radio systems for their space flight missions in the early 2000s [48,49]. ...
Thesis
Full-text available
The present thesis deals with the design of a highly integrated and radiation tolerant software-defined radio (SDR) platform for multi-channel radio applications in space systems. The described design addresses the risk-minimized use of non-space qualified electronic components for critical space systems, which is ultimately used for the development and verification of the innovative SDR platform presented in this work.
Article
Growing interest in the commercialization of space (NewSpace) is leading to increased acceptance of commercial off-the-shelf (COTS) electronics and thus enabling use in space of the latest technologies developed for terrestrial applications. This opens new capabilities for applications in space missions. RF communication via satellites has been established for decades; traditionally used for military applications, it is becoming increasingly important for commercial users. Today, global connectivity and access to the Internet has never been so important, and the demand for worldwide connectivity increases significantly each year. Space-based Internet access is especially important for areas with poor terrestrial infrastructure, such as Africa and Antarctica. There are already geostationary-Earth orbit (GEO)-stationary-located satellites available such as Inmarsat or low-Earth orbit (LEO) satellite constellations like Iridium, which provide almost-global access to the Internet, but they are either very expensive or have low data rates and long latencies, so they are not very attractive. New solutions with high data rates, low latencies, and affordable fees are currently in development, such as StarLink, with its giga constellation [1] , [2] , and Amazon’s Project Kuiper [3] , [4] , [5] . So it is true: there really has been a change in the market with the NewSpace Era. With NewSpace, or in other words, the commercialization of space, traditional space missions with high-quality assurance requirements, very long design and manufacturing times, and extraordinary costs may become obsolete at a certain point, at least when it comes to commercial services like satellite-based Internet access. To decrease the lead time and costs of such missions, satellite designers and manufacturers need to rely on commercially available electronics, namely, COTS devices, which are usually much cheaper and have better performance and shorter lead times compared to space-qualified parts. In terms of performance, COTS devices have great benefits over space-qualified parts simply because the design, development, and qualification processes for space parts are very complex and take years before they are qualified and available for the market. It is likely that state-of-the-art space-qualified parts are 10 years behind what we currently have available for terrestrial applications, such as for the automotive or industrial markets [6] . One obvious reason is certainly that electronic parts manufacturers develop products for certain markets, and space is yet not one of the biggest or most attractive markets. But this has already started changing and big players such as Texas Instruments and Analog Devices are moving forward with the NewSpace wave and establishing ever-more products for commercial space applications. Truly, using state-of-the art electronics is not only of interest for the space-based Internet, there are also other space-related applications that can clearly benefit from using the latest technologies.
Article
Full-text available
The work purpose is the development of BSUIM-1 and BSUIM-2 complexes for training specialists in the aerospace industry with the used engineering test beds and experimental facilities. Two sets of nanosatellite engineering models and ground stations had developed. They allow testing hardware and software of the onboard equipment and payload, simulating operation modes, and flight programs, and enable students to gain practical skills in working with ultra-small satellites. The complexes include ground stations, 2 ultra-small satellite simulators, BSUSAT-1 low-orbit nanosatellite, remote access laboratory, local and external servers for data storage. The complexes' website and database allow for full-time and remote training. The experience gained in conducting experiments, processing telemetry, and structuring information in the database is used for further development. All the developed equipment is made based on commercial off-the-shelf elements. It has reduced development costs, flexible equipment reconfiguration, and easier access to the simulator's internal architecture for demonstration purposes. The developed complexes allow students to practically study the ultra-small satellite components design and ground stations, methods for receiving and processing telemetry and scientific information, attitude determination and control algorithms. The complexes allow to conduct of research in the development of individual onboard systems and special-purpose equipment of the nanosatellite and their testing in the loop. The results obtained are introduced into the educational process and are used in lectures and laboratory classes for aerospace specialties students. The developed complexes make it possible to carry out term papers, theses, and master’s works related to the design of hardware and software for nanosatellites and a ground station, the setting up of space experiments, the development of new algorithms and a flight program for ultra-small satellites.
Article
In recent years, there is a growing interest in small satellites for deep space exploration. The current approach for planetary navigation is based on ground-based radiometric tracking. A new era of low-cost small satellites for space exploration will require autonomous deep space navigation. This will decrease the reliance on ground-based tracking and provide a substantial reduction in operational costs because of crowded communication networks. In addition, it will be an enabler for future missions currently impossible. This review investigates available deep space navigation methods from an autonomy perspective, considering trends in proposed deep space small satellite missions. Autonomous crosslink radiometric navigation, which is one of the best methods for small satellites due to its simplicity and the use of existing technologies, is studied, including available measurement methods, enabling technologies, and applicability to the currently proposed missions. The main objective of this study is to fill the gap in the scientific literature on the autonomous deep space navigation methods, deeply for crosslink radiometric navigation and to aim at showing the potential advantages that this technique could offer to the missions being analysed. In this study, a total of 64 proposed deep space small satellite missions have been analysed found from a variety of sources including journal papers, conference proceedings, and mission websites. In those missions, the most popular destinations are found to be cislunar space and small bodies with the purpose of surface mapping and characterization. Even though various autonomous navigation methods have been proposed for those missions, most of them have planned to use the traditional ground-based radiometric tracking for navigation purposes. This study also shows that more than half of the missions can benefit from the crosslink radiometric navigation through the inter-satellite link.
Conference Paper
Full-text available
The Surrey Training Research and Nanosatellite Demonstrator (STRaND) programme has been success in identifying and creating a leading low-cost nanosatellite programme with advanced attitude and orbit control system (AOCS) and experimental computing platforms based on smart-phone technologies. The next demonstration capabilities, that provide a challenging mission to the existing STRaND platform, is to perform visual inspection, proximity operations and nanosatellite docking. Visual inspection is to be performed using a COTS LIDAR system to estimate range and pose under 100 m. Proximity operations are controlled using a comprehensive guidance, navigation and control (GNC) loop in a polar form of the Hills Clohessy Wiltshire (HCW) frame including J2 perturbations. And finally, nanosatellite docking is performed at under 30 cm using a series of tuned magnetic coils. This paper will document the initial experiments and calculations used to qualify LIDAR components, size the mission thrust and tank requirements, and air cushion table demonstrations of the docking mechanism.
Conference Paper
This paper describes the use of an advanced high-performance software defined radio architecture to provide small satellites, including CubeSats, with the ability to operate in coordinated constellations and fractionated systems. While the advantages of small satellite constellations are frequently discussed, the challenges of cooperative operations in a constellation are often overlooked. We will discuss the additional requirements that are often levied against a small satellite constellation or fractionated system and how these requirements can be efficiently addressed using software defined radio intersatellite RF links. In particular, we will discuss the capabilities of the SWIFT SDR platform and how it can be used to provide small satellites with a high-speed data crosslink (>10 Mbps), timing and frequency synchronization (<10ns and <10ppb), and relative navigation information (<0.1m range accuracy). These capabilities will then be discussed relative to traditional, uncoordinated solutions and how these capabilities enable classes of missions that would otherwise be difficult to implement. In particular, missions requiring cooperative, synchronized multi-point measurements and real-time station keeping will be discussed.
Article
By regulatory fiat, secondary communication services cannot cause harmful interference to primary communication services, and they cannot claim protection from harmful interference from the primary service. This paper is about establishing reliable high data rate space-to-Earth communication in the asymmetric setting of a secondary service in the 460–470 MHz frequency band. In this band, the primary services consist of signals that are narrowband relative to the bandwidth of the signal of interest. A frequency domain approach is adopted to detect and cancel narrowband interference. After this processing, the signal of interest can be demodulated by standard techniques. This approach is shown to be effective on real data collected for the Dynamic Ionosphere CubeSat Experiment mission. The telemetry concept for Dynamic Ionosphere CubeSat Experiment was developed with a view toward demonstrating a high-speed data downlink capability that may be adopted as a standard for future CubeSat missions. Copyright © 2014 John Wiley & Sons, Ltd.
Conference Paper
Realizing inter-satellite links is a must for ensuring the success of cubesat swarm missions. Nevertheless, it has hardly been considered until now. The communication systems for cubesats have to deal with a few peculiar demands regarding consumed power, geometry and throughput. Depending on the type of application, required data rates can go up to tens of megabits per second, while power consumption and physical size are limited by the platform. The proposed communication scheme will combine power-efficient modulation and channel coding with multiple access and spread spectrum techniques, enabling the deployment of multiple satellites. Apart from this, the antenna system has to be designed such that links can be established and maintained independent of the satellites' orientation. An electrically steerable radiation pattern is achieved by placing antennas on each face of the cube. Conformal beamforming provides the system with 5 dBi gain for any desired direction of transmission, eliminating the need for attitude control. Furthermore, using planar antennas reduces the complexity of the mechanical part as they require no deployment.
Article
The NASA SCaN Test Bed flight experiment payload aboard ISS will enable experimenters the unique opportunity to investigate SDRs, navigation, and networking in the space environment. Comprised of three SDRs from industry partners, CoNNeCT allows experimenters to develop and verify new waveforms compliant with the STRS SDR architecture standard, using verified ground systems and then have those waveforms uploaded to the flight SDRs to assess in situ performance and to better understand operational concepts for SDRs in space. In addition to the SDRs, the reprogrammable avionics software allows application software for on-board networking and routing experiments. The flight system communicates with TDRSS at both S-band and Ka-band and can receive within the GPS L-band for navigation waveform development and experiments.
Article
The National Aeronautics and Space Administration (NASA) successfully launched three smartphone satellites. The three smartphones destined to become low-cost satellites rode to space aboard the maiden flight of Orbital Science Corp.'s Antares rocket from NASA's Wallops Island Flight Facility in Virginia. The trio of “PhoneSats” is operating in orbit and may prove to be the lowestcost satellites ever flown in space. The goal of NASA's PhoneSat mission is to determine whether a consumergrade smartphone can be used as the main flight avionics of a capable, yet very inexpensive, satellite.
Article
The goal of this study is to explore the feasibility of utilizing a network of orbiting satellites working as a distributed-computing array. The satellites are presumed to be low-cost mini- or microsatellites orbiting Earth or some other celestial body (i.e., an asteroid, moon, etc.), and should have regular communication links between the satellites such that any given satellite can transmit data to any other satellite in the network; however, as a low-cost and potentially remotely operated mission scenario, it is assumed that the downlink bandwidth via Earth-based ground stations will be very limited. As such, the goal of the networked array of satellites is to directly compute the data or science product in orbit as opposed to the traditional scheme of downloading all of the raw data and processing the results on the ground. Not all observation techniques will benefit from this space-based distributed-computing approach, but case studies involving the gravity-field determination of Earth and other planetary bodies are provided to highlight the potential of such systems.
Article
We have recently witnessed a rapidly increasing demand for, and hence, a shortage of, wireless network bandwidth due to rapidly growing wireless services and applications. It is, therefore, important to develop an efficient way of utilizing this limited bandwidth resource. Fortunately, recent technological advances have enabled software-defined radios (SDRs) to switch from one frequency band to another at minimum cost, thereby making dynamic multiband access and sharing possible. On the other hand, recent advances in signal processing combined with those in antenna technology provide multiple-input multiple-output (MIMO) capabilities, thereby creating opportunities for enhancing the throughput of wireless networks. Both SDRs and MIMO together enable next-generation wireless networks, such as mesh networks, to support dynamic and adaptive bandwidth sharing along time, frequency, and space. In this paper, we develop a new framework that 1) identifies the limits and potential of SDRs and MIMO in terms of achievable network throughput and 2) provides guidelines for designers to determine the optimal parameters of wireless mesh networks equipped with multiband and multiantenna capabilities.
Article
Cognitive radio system (CRS) is a disruptive technology targeting very high spectral efficiency. This paper presents an overview of CRS and summarizes the related regulation and standardization status. We point out some key research challenges, especially implementation challenges of cognitive radio and deduce research directions in CRS. Despite numerous challenges, the timeframe for the success of CRS is more linked to the economical and business model than real technological issues.