Conference PaperPDF Available

Polarization-induced astigmatism caused by topographic masks - art. no. 67301T

Authors:

Abstract and Figures

With the continuous shrink of feature sizes the pitch of the mask comes closer to the wave length of light. It has been recognized that in this case polarization effects of the mask become much more pronounced and deviations in the diffraction efficiencies from the well known Kirchhoff approach can no longer be neglected. It is not only the diffraction efficiencies that become polarization dependent, also the phases of the diffracted orders tend to deviate from Kirchhoff theory when calculated rigorously. This also happens for large structures, where these phase deviations can mimic polarization dependent wave front aberrations, which in the case of polarized illumination can lead to non-negligible focus shifts that depend on the orientation and the features size themselves. This orientation dependence results in a polarization induced astigmatism offset, which can be of the same order of magnitude or even larger as polarization effects stemming from the lens itself. Hence, for correctly predicting polarization induced astigmatism offsets, one has to both consider lens and mask effects at the same time. In this paper we present a comprehensive study of polarized induced phase effects of topographic masks and develop a simple theoretical model that accurately describes the observed effects.
Content may be subject to copyright.
Polarization-induced astigmatism caused by topographic
masks
Johannes Ruoffa, Jens Timo Neumanna, Emil Schmitt-Weaverb, Eelco van Settenc, Nicolas le
Massonc, Chris Proglerd, Bernd Geha,e
aCarl Zeiss SMT AG, D-73446 Oberkochen, Germany
bASML US, Inc., DE Adv. Lithogr. Appl. Research, 25 Corporate Circle, Albany, NY
cASML Netherlands B. V. de Run 6501, 5504 DR Veldhoven, The Netherlands
dPhotronics Inc., 601 Millennium Drive, Allen, Texas
eASML US, Inc., 8555 S. River Parkway, Tempe, AZ
ABSTRACT
With the continuous shrink of feature sizes the pitch of the mask comes closer to the wave length of light.
It has been recognized that in this case polarization effects of the mask become much more pronounced and
deviations in the diffraction efficiencies from the well known Kirchhoff approach can no longer be neglected.
It is not only the diffraction efficiencies that become polarization dependent, also the phases of the diffracted
orders tend to deviate from Kirchhoff theory when calculated rigorously. This also happens for large structures,
where these phase deviations can mimic polarization dependent wave front aberrations, which in the case of
polarized illumination can lead to non-negligible focus shifts that depend on the orientation and the features
size themselves. This orientation dependence results in a polarization induced astigmatism offset, which can be
of the same order of magnitude or even larger as polarization effects stemming from the lens itself. Hence, for
correctly predicting polarization induced astigmatism offsets, one has to both consider lens and mask effects at
the same time. In this paper we present a comprehensive study of polarized induced phase effects of topographic
masks and develop a simple theoretical model that accurately describes the observed effects.
Keywords: Topographic mask, Polarization, Jones pupils, Wave Front Aberrations
1. INTRODUCTION
With the continuing demand to reduce cost in chip production, one of the paradigms within the semiconductor
industry is the further shrinking of the volume of a single logic or memory unit in order to increase the number
of memory units per wafer or the number of dies. For the current 65nm node the smallest pitches are already
approaching 120nm, which means that the corresponding pitch on the mask for a 4X reduction system is of the
order of two times the wavelength. For the future nodes to come the mask pitch can even become smaller than
1.5 times the wavelength of light. It has been recognized early on that with the mask pitch approaching the
range of the wavelength, polarization effects become important, and the diffraction amplitudes can no longer
be accurately predicted using the well established Kirchhoff approximation, which was used to compute the
diffraction amplitudes of binary, attenuated or phase shifting masks. Instead, the full set of Maxwell’s equations,
which take care of the polarization and the finite thickness of the mask, have to be solved in order to correctly
predict the diffraction spectrum of the mask. At present, all established litho simulation tools offer the possibility
to rigorously compute the mask spectrum using various Maxwell solvers. Among the widespread methods are the
Finite Difference Time Domain (FDTD), which solves the time dependent Maxwell equations, or the Rigorous
Coupled Wave Analysis (RCWA), which solve Maxwell’s equations in Fourier Space. The RCWA is particularly
suited for periodic patterns, such as lines and spaces, but also contact holes array, whereas the FDTD in principle
can handle any kind of mask patterns, although it might be very time and memory consuming.
There have been numerous studies on topographic mask effects, which dealt with the influence of mask
imperfection, such as side wall angles, the influence of the mask material – which in case of chrome is, of course,
Photomask Technology 2007, edited by Robert J. Naber, Hiroichi Kawahira
Proc. of SPIE Vol. 6730, 67301T, (2007) · 0277-786X/07/$18 · doi: 10.1117/12.747039
Proc. of SPIE Vol. 6730 67301T-1
not perfectly absorbing –, and many more.1, 2 Most of these studies were performed for the smallest critical
dimensions, since here deviations from the Kirchhoff approximation are the most severe, which can show up,
for instance, as strongly deformed Bossung curves.3In this paper, the focus is on large structures and it is
shown that even here, one has to expect significant deviations from Kirchhoff theory and polarization dependent
effects do occur. These effects are hidden for unpolarized illumination settings, but have a nonnegligible effect,
when polarized light is used. As will be shown, these electromagnetic effects mainly affect the phases of the
diffraction orders in such a way that for a given polarization state, the best focus of a vertical and horizontal
line will generally differ, and under particular circumstances by a considerable amount. Even though the effects
are small, they are inherent to topographic masks, and they contribute to or may even dominate the overall
retardation effects, such as mask blank birefringence, lens birefringence, stemming from coatings and material
birefringence.
The paper is organized as follows: In Section 2, we set the stage using a simplified, but possibly realistic
example. Section 3 revisits some results from Kirchhoff theory and in Section 4 we present a comprehensive study
of the 3D phase effects and their dependence on various parameters. In Section 5 we devise a simple modification
of the Kirchhoff theory, which leads to an accurate description of the observed mask effects. Experimental results
obtained on various different scanner systems are presented in Section 6 and conclusions are drawn in Section 7.
2. ILLUSTRATING EXAMPLE
As an illustrating example, we consider a case, where we want to print a layer consisting of a dense core structure
and a periphery containing much larger horizontal and vertical semidense and isolated lines.
To be more specific, we choose the core structure to consist of dense vertical lines with 60nm CD and 120nm
pitch. For a dry scanner system with NA = 0.93, this amounts to k1=0.29. For illumination a horizontal
y-polarized 40 degree dipole with σi=0.6andσo=0.95 is chosen. In the periphery the isolated lines are
required to print at 200nm. For the sake of simplicity, in the following we will only consider the image in resist,
instead of performing a full fledged resist simulation, since for our purpose this will lead to the same qualitative
results.
Neglecting any polarization influences other than the vectorial effects in the resist, we fix the intensity
threshold such that the core structures print on size. Based on this threshold the appropriate biases for the
200nm isolated lines are determined by requiring them to print on size. For the vertical line, we find that the
required CD on mask side is 254nm, for the horizontal line it is 182nm.
In order to have a more realistic description, we now include the polarization effects coming from the mask and
also the retardation effect of the projection lens, which is due to antireflection coatings and material birefringence,
and which is conveniently described by a Jones pupil.4
For the 60nm line, the main effect of using 3D and Jones pupil is an increase of the CD in the resist image,
which comes from the fact that the rigorously computed diffraction efficiencies are somewhat lower than the
ones stemming from the Kirchhoff approximation. This can be readily compensated for by lowering the intensity
threshold, which amounts to increasing the exposure dose.
What do we to expect to see for the isolated lines? Since they are large structures, we do expect that the
diffraction efficiencies should be well described by the Kirchhoff approximation, which means that dose effects
should be almost negligible. For polarized imaging, however, the presence of birefringence in the lens translates
into aberrations of the polarized wave front. As retardation effects due to coatings and stress birefringence are
predominantly rotationally symmetric, they translate into astigmatic aberrations in the Jones pupil. Therefore
we would expect the horizontal and vertical lines to have different locations of best focus.
And this is what the simulation actually show. In Figure 1a, the CDs of both the horizontal and vertical
lines are shown as a function of defocus. Since best focus (BF) is defined as the point where the CD exhibits an
extremum, in this case, a maximum, we see that there is a BF difference of about 90nm, which seems to be a
relatively large value, and which could lead to the conclusion that there is a rather strong birefringence present
in the lens. As we are only simulating, we are in a position, where we can just switch off the lens birefringence
and see how much focus shift will still remain. By doing so, we obtain the results shown in Figure 1b, which still
Proc. of SPIE Vol. 6730 67301T-2
Width (Cs) [119]
0.220
0.205
0.200
0.205
0.200
0.095
0.090
0.005
0.000
-0.00 -0.05 0.00 0.05 0.00
Width (Cs) [119]
0.220
0.205
0.200
0.205
0.200
0.095
0.090
0.005
0.000 -0.00 -0.05 0.00 0.05 0.00
a)
H
V?
?
-
90 nm
b)
H
V?
?
-
60 nm
Figure 1. CD as function of defocus for horizontal (H) and vertical (V) iso lines. a) With 3D mask effects and Jones pupil:
BF difference about 90m. b) 3D mask effects only: BF difference about 60nm.
shows 60nm BF difference! This means that only one third of the observed BF difference is due to the lens and
two thirds have to be attributed to the mask alone.
Before we continue exploring which effects in the mask are responsible for this focus shift, we should note that
although 60nm may look large, the influence on the process window is rather small. This is because the horizontal
line, which is much stronger affected than the vertical line also has a much broader depth of focus, which allows
for larger BF shift without deteriorating the process window. The BF of the vertical line, which exibits a much
smaller DOF almost remains unaffected by either the Jones pupil or the 3D mask effect. Nevertheless, with the
CD tolerances becoming ever tighter, these effects eventually have to be taken into account.
In order to study the mask effects in a more systematic way, we shall abandon the dipole illumination,
which only served for setting up a more realistic scenario. However, due its asymmetric shape, it introduces an
asymmetry between the H and V lines, leading to different biases and different depths of focus. For the following
discussion, we therefore switch to a conventional low sigma illumination setting, restoring the symmetry between
H and V lines. The only asymmetry then comes from the chosen linear polarization of the illumination.
3. KIRCHHOFF THEORY
Before presenting the rigorous simulation results, we shall derive some useful analytic results for binary masks
using the Kirchhoff approximation, where the mask is considered to be infinitely thin. In this case, the mask
can be described by a complex amplitude transfer function, and for binary or attenuated phase shift masks the
diffraction spectrum can be computed analytically. For the sake of simplicity, we restrict ourselves to binary
masks.
In scalar Kirchhoff theory, the diffraction spectrum of a periodic mask with complex amplitude transfer
function T(x) can be computed through discrete Fourier transformation over one period of the mask. Thus the
mth diffraction coefficient bmcanbeobtainedby
bm=1
0
T(x)e2πimxdx . (1)
Herein the period has been normalized to one. For a binary mask T(x)issimplygivenby
T(x)=0,0<xf
1,f<x1,(2)
Proc. of SPIE Vol. 6730 67301T-3
diffiaction amplitude
0 0 0 0 0 0 0
if if if t n 0) 0
0)
0
0) +
0 0 +.
+.
+
where fis the fillfactor defined as the ratio of linewidth viz. CD and pitch:
f=CD
pitch .(3)
Inserting T(x) into (1) yields
bm=(1f)ei(1+f)sinc (m(1 f)) ,(4)
with the sinc-function defined as
sinc(x):=sin(πx)
πx .(5)
The diffraction efficiency ηmof the mth order is the squared modulus of the complex amplitude
ηm=|bm|2=(1f)2sinc2(m(1 f)) .(6)
The diffraction angle of the mth transmitted order can be inferred from the well known grating equation
nout sin θm
out =nin sin θin +
g,(7)
where nin/out are the refractive indices of the surrounding media. We denote by gtheperiodofthemask,which
corresponds to a pitch on wafer level, which is reduced by the reduction factor Rof the lens, with R=4for
current high end projection lenses. For normal incidence it is θin = 0 and together with nout =1weobtain
sin θm
out =
g.(8)
Introducing pupil coordinates σby
σ=R
NA sin θm
out ,(9)
we can represent the diffraction order mby a pupil position σ:
m=gNA
σ=pitch NA
λσ. (10)
Using the relation, we can express the diffraction efficiencies (6) as a function of the pupil coordinate sigma,
which will be used for the graphical representations. The inverse relation is given by
Figure 2. Scalar diffraction amplitude of
a binary 500nm/2500nm Kirchhoff mask
σ=
pitch NA .(11)
Throughout the following discussion we will use NA = 0.93 and λ=
193 nm. Figure 2 shows the magnitude of the scalar diffraction amplitudes
of a 500nm/2500nm binary line according to formula (1). We did not
plot the efficiencies, since the difference between the zeroth and the other
orders would be too big to produce a decent graph. Apart from the
dominating zeroth order, the diffraction orders follow a periodic pattern,
decreasing in amplitude according to the sinc-function. Is is obvious that
most of the diffracted intensity is located between the first zeros at the
left and right of the zeroth diffraction order. The location of the zero can
be easily computed, if we assume that the pitch is an integer multiple
of the CD. Let this factor be denoted by p, it is then straightforward to
Proc. of SPIE Vol. 6730 67301T-4
+ TE
+ TM
0.01 + Kirchhoff
4
0.008 * *
0.006 *4
0.004 44
4
0.002
-0.5 00.5
phase
difference TM-TE
[nm]
phase
dif1resce TM-TE
[am]
0 tO a m 0 0
a) b) c)
Figure 3. a) Comparison of the diffraction efficiencies of a scalar Kirchhoff mask and rigorous Chrome mask for TE and
TM polarization. b) Phase differences between TE and TM polarization due to electromagnetic effects at the mask. c)
Same es b), but with σrestricted to [-0.8,0.8].
show that the pth diffraction order always lies on the first zero: From equation (6) we find that the diffraction
efficiency becomes zero whenever
m(1 f)=n, nN. (12)
Since we assumed that pitch = pCD, the fillfactor fcanbewrittenas
f=1
p(13)
and condition (12) becomes
m=np
p1.(14)
Clearly, for n=p1, it is m=p, and it is easy to show that this is the smallest diffraction order m, for which
condition (14) holds. The corresponding pupil coordinate σ0can be obtained from (11):
σ0=λ
CD NA .(15)
Note that σ0is independent of pitch. This means that for a pitch of p-times the CD, the p-th diffraction order
will have zero efficiency, and for any pit will always be found at the same pupil coordinate σ0.Incasethatthe
pitch is not an integer multiple of the CD, there is no diffraction order which has exactly zero efficiency, but
nevertheless the orders which lay close to σ0will have very small efficiencies.
4. RIGOROUS SIMULATIONS
Having established that the location of the first zero is independent of the pitch, we can now move on to assess
the influence of the electromagnetic mask effects on the diffraction coefficients. The simulations were performed
using the Rigorous Coupled Wave Method with a large number of Fourier modes (up to several hundreds for the
large pitches) to make sure that the results were well converging. As these phase effects are rather small, the
convergence is rather slow, and therefore this large number of Fourier modes was necessary for accurate results.
In Figure 3a, we compare the diffraction efficiency of the scalar Kirchhoff mask with the efficiencies obtained
by the RCWA Maxwell solver for a 200nm isolated chrome line for TE and TM polarization. The differences
between the rigorously computed values and the ones obtained by formula (6) are very small, indicating that for
the efficiencies the Kirchhoff theory provides very accurate results.
Let us turn to the phases. As the absolute values of the phases are not of interest, we plot in Figsure 3b and
3c the phase differences between TM and TE polarization. In Figure 3b the phase difference is plotted for the
complete pupil range. Obviously, close to pupil edges, the phase differences become very large when approaching
Proc. of SPIE Vol. 6730 67301T-5
phase
dif1resce TM-TE
[am]
phase
dif1resce TM-TE
[am]
o to a 0 0
+
++
-0.8 -0.6 -04 -0.2 0 0.2 04 0.6 0.8
phase
dif1resce TM-TE
[am]
8
6
4
-0.8 -0.6 -04 -0.2 0 0.2 04 0.6 0.8 I
phase
dif1resce TM-TE
[am]
! *
Figure 4. Phase differences between TE and TM for a 200nm binary line for different pitches. The asterisks indicate the
positions of the diffraction orders. In the last panel, all graphs are drawn on top of each other.
pitch = 400nm pitch = 800nm pitch = 1.6 m
pitch = 3.2 m pitch = 6.4 m
σ= 1. At the same time, however, the diffraction efficiencies go to zero, since for the chosen values (CD =
200nm, NA = 0.93, λ= 193nm), it is σ0=1.04. This means that the corresponding diffraction orders do not
significantly contribute to the image formation. Therefore the important part to look at is in a sigma range of
about ±0.8σ0, since only here the diffraction efficiencies are large enough to account for imaging. The phase
differences between TE and TM polarization restricted to this interval are shown in Figure 3c. If it were not for
the zeroth diffraction order, which shows a much larger phase difference than its neighboring higher orders, the
curve could be accurately fitted by a polynomial with even orders only.
Such a polynomial can also be written in terms of the radial Zernike polynomials Z1,Z4,Z9,Z16, etc. with Z4
describing defocus and Z9,Z16, etc. the higher order spherical aberrations. If we now consider the TE polarized
case as a reference, this means that the TM polarized case will experience a phase shift or wave aberration with
respect to the TE case, which contains a certain amount of defocus and spherical aberration. Hence, the images
of both the TE and TM polarized cases will have different focus positions. Moreover, the spherical aberration
should lead to a tilting of the Bossung curves, which can also be observed. From the amount of Z4that can
be deduced from the polynomial fit, one should immediately be capable to predict the corresponding focus
difference. However, due to the presence of the zeroth diffraction order, where the phase difference deviates from
the smooth curve, this analysis is slightly more complicated, and especially in the intermediate region, where the
pitch is only a few times the CD, the difference between the first order and its neighbors is quite pronounced,
and therefore the focus behavior cannot be deduced by the amount of Z4, which would be obtained from the
polynomial fit. Nevertheless, as will be corroborated by simulations and experiments, it is this phase difference
between TE and TM, which is responsible for the occurrence of best focus differences with polarized illumination.
In the following we will investigate the dependence of these phase effects on pitch, CD, mask thickness and
angle of incidence.
4.1 Pitch dependence
Figure 4 shows the TM-TE phase differences of a 200nm Cr-line for various pitches ranging from 400 nm through
1.6 m. For a 400 nm pitch, only three diffraction orders fall into the lens pupil. For 800 nm pitch, we have
seven orders, and for larger pitches the number of diffraction orders increases accordingly. On the lower right
panel, all curves are plotted on top of each other and it becomes apparent that they all lie on a single universal
Proc. of SPIE Vol. 6730 67301T-6
44
44
:i -0.5 00.5
0.01
+ TE
+ TM
+ scalar
+ +
* *
0.008
0.006
0.004
0.002
4
wF2F1
-0.8 -0.6 -04 -0.2 0 0.2 04 0.6 0.8
+ TE
+ TM
0.01 + * + scalar
*4 t
0.008 +
O.OO6 ::
O.OO4
S*
0.002
- — -0.5 00.5
-0.8 -0.6 -04 -0.2 0 0.2 04 0.6 0.8 I
wF2FI
-2i! -3
++
++
++++ •+
+ TE
+ TM
0.01 *4 + scalar
a
O.OO8 '4
O.OO6
O.OO4 : :
0.002 *4
*l:-0.5 00.5
+ + + 4
+++
w+
— 2 + +
++++
++ + + + +
+ + + + + +
+ + +
-4 ++
-0.8 -0.6 -04 -0.2 0 0.2 04 0.6 0.8
Figure 5. Diffraction efficiencies (upper row) and phase differences between TE and TM (lower row) for different CD
values (250, 500, 1000nm). For each panel, pitch = 10 ×CD.
curve, independent of pitch! Only the zeroth order is an exception since this is the only order where the phase
difference actually does depend on pitch.
4.2 CD dependence
Figure 5 shows both the diffraction efficiencies and the phase differences as a function of CD over the complete
pupil range. The pitch has been set to 10 times the CD. Increasing the CD results in squeezing the diffraction
spectrum such that the light get more and more concentrated around the pupil center. Note the phase singularities
at the points where the diffraction efficiency goes to zero. Since in all cases, most of the light is contained between
the first zeros around the center, it is always this area where the phase differences are relevant.
To make this area independent of the CD, we can scale the σ-coordinate by σ0. So instead of using σwe use
σ/σ0to plot the phase differences. In Figure 6a the effect of this scaling is shown, where we have plotted in a
single graph the phase differences with scaled pupil coordinates for 250nm, 500nm and 1000nm iso lines. As can
be seen, the phase effects weaken with increasing CD. If we quantify the strength of the phase difference by its
PV-value inside this range, we we can plot it as a function of CD, which is depicted in 6b. As it turns out, the
decrease of the PV-value is proportional to 1/CD.
4.3 Thickness and material dependence
The dependence on line thickness dand material is depicted in Figure 6c, where the PV is plotted for both a
chrome and a MoSi 200nm line as function of thickness. For both materials in the range between 10nm and
100nm an almost linear dependence on thickness can be observed, with the effect being much stronger for chrome
than for MoSi.
4.4 Dependence on angle of incidence
The dependence on the angle of incidence is rather weak as can be inferred from Figure 7. In this graph, the
phase differences for normal incidence and incidence angles corresponding to σ±=±1.35/2 have been plotted.
Even for the largest angle of incidence which would occur for a state of the art hyper NA immersion scanner,
only a small tilt due to oblique incidence is discernible.
Proc. of SPIE Vol. 6730 67301T-7
phi.. dlflflnci TM-TE LnmJ
o to a 0 0
...*
.
••.*... +
0
0
to
0
0
to
0
0
0
0
0
30
25
20515
>a10
5
200 300 400 500
CD [nm]
18
16
14
12
6.6.10
>a8
6
20 40 60
thickness [nn] 80 100
ph...
dltrunce TM-TE Inmi
O tO a o 0 0 N)
P a
P a
a a
P +
NJ +
0 a
0
NJ *
a
0 .
0 a
0) *•
0 •••+••.
a) b) c)
Figure 6. a) Phase differences between TE and TM for 250nm, 500nm and 1000nm Cr line using the scaled pupil coordinate.
b) PV value as function of CD for a Cr line. c) PV dependence on thickness for Cr and MoSi.
Summarizing the so far obtained results, we can conclude that the strength of the phase effect is proportional
to the line thickness d, independent of pitch, and inversely proportional to the line width. This can be subsumed
in the following simple formula:
strength = Md
CD ,(16)
where Mis a material dependent proportionality factor. By strength, we mean for instance the PV level for the
scaled pupil coordinates.
Figure 7. Dependence of the phase dif-
ference on the angle of incidence.
These results suggest that it is mainly the vertical slopes of the lines
that are responsible for the observed phase differences. This can also be
seen by looking at the boundary condition for the electromagnetic fields,
which follow from Maxwell’s equations. If we consider normal incidence,
then for large structures the propagation directions of those transmitted
and reflected diffraction orders which carry the substantial amount of en-
ergy will also be close to the normal, i.e. the diffraction angles are very
small. In this case, the boundary conditions for the horizontal boundaries,
i.e. the top and bottom of the line and the bottom of the substrate, are
identical for TE and TM polarization as in both cases the electric field
is parallel to the boundary. However, at the vertical walls the boundary
conditions differ for TE and TM. For TE polarization the electric field
component is parallel to the wall and, therefore, continuous. In the TM
case, however, the electric field is perpendicular to the wall and must be
discontinuous. Assuming that the observed phase effects are due to this
difference in the boundary conditions, it is then obvious that we can expect a linear dependence on the line
thickness since by making the line thicker, the region where the boundary conditions differ for TE and TM also
grows.
5. DERIVATION OF A SIMPLE THEORETICAL KIRCHHOFF MODEL
To further corroborate our statement that the phase differences are purely caused by the edge effects at the
vertical walls, we propose a simple modification of the Kirchhoff theory that, as we shall show, gives remarkable
agreement with the observed phase effects.
Let us suppose that the edge effects can be mimicked in scalar theory by adding to the binary transmission
function T(x) from Eq.(2) two δ-functions with a certain amplitude Aand phase φ, representing perturbation
coming from the edges. The modified transmission function thus reads
Tpert(x)=T(x)+Aeiφδ(x0) + Aeiφδ(xf).(17)
Proc. of SPIE Vol. 6730 67301T-8
The amplitude Aand phase φ, of course, depend on the polarization. Inserting the perturbed transfer function
(17) into (1) and performing the integral yields
bm=eimπf (1 f)ei sinc (m(1 f)) + 2Aeiφcos (πmf).(18)
In order to further simplify the above equation, we assume that m=0. Thecasem= 0 will be dealt with
subsequently. For m= 0 it is straightforward to show that
(1 f)eisinc (m(1 f)) = fsinc (mf ),(19)
and after discarding the global phase factor exp (imπf), we find for the phase Φmof the mth diffraction order
tan Φm=Im bm
Re bm
=2Asin φcos (πmf)
fsinc (mf)+2Acos φcos (πmf)
≈−
2πmA sin φcos (πmf)
sin (πmf)
=2πmA sin φcot (πmf).(20)
Insteadofusingthediractionorderm, let us switch to the pupil coordinate σthrough
m=pitch NA
λσ. (21)
By virtue of (15) and (3) it is
mf =σ
σ0
,(22)
and we can further simplify (20) assuming that Φmis small enough for tan ΦmΦmto hold:
Φm≈−2π
0
sin φcot πσ
σ0.(23)
Note that we keep the index mat the Φmto stress that Φmis still a discrete quantity, although as a function of
the pupil coordinate σis looks continuous.
Let us now turn out attention towards the zeroth order m= 0. In this case, Equation(18) becomes
b0=1f+2Aeiφ.(24)
with its phase
tan Φ0=2Asin φ
1f+2Acos φ(25)
or for small amplitude A
Φ02Asin φ
1f.(26)
In the following, we always will have to distinguish between the cases m= 0 and m= 0. The latter case will
always be indicated by a zero subscript, otherwise m=0isassumed.
In principle, we would need four parameters ATM,A
TE
TM
TE, to describe both the perturbations for TE
and TM polarization. However, the phase difference ∆Φm
TM
mΦTE
mcan be described by a single effective
parameter Aeff , since it is
∆Φm=2πσ
0
(ATM sin φTM ATE sin φTE)cotπσ
σ0
=Aeff
πσ
0
cot πσ
σ0(27)
Proc. of SPIE Vol. 6730 67301T-9
with
Aeff =2(ATE sin φTE ATM sin φTM ).(28)
Before discussing the implications of this formula, we shortly turn to the diffraction efficiencies. The difference
η=ηTM
mηTE
mcan be readily obtained from the diffraction coefficients (18):
ηm=|bTM
m|2−|bTE
m|2
=|fsinc (mf)2ATMeiφTM cos (πmf)|2−|fsinc (mf)2ATEeiφTE cos (πmf)|2
≈−2f(ATM cos φTM ATE cos φTE)sinc(mf) cos (πmf)
=fBeff sinc (mf ) cos (πmf),(29)
with
Beff =2(ATE cos φTE ATM cos φTM ).(30)
From a comparison of this formula with rigorous simulations for various CD and pitches, we found that ∆ηm
CD/pitch2=f2/CD. Since from Equation (29) it follows that ∆ηmfBeff ,weconcludethatBeff f/CD.
The same has to hold for Aeff , as well. Now, from Equation (27), we see that ∆ΦmAeff/f , and it immediately
follows that ∆Φmonly depends on 1/CD, which is consistent with the numerical simulations of the previous
section. Moreover, the rigorous simulations have shown that the strength of the phase effects depends linearly
on the line thickness d. We can therefore introduce scaled effective amplitudes ˜
Aeff and ˜
Beff via
Aeff =˜
Aeff
df
CD ,(31)
Beff =˜
Beff
df
CD ,(32)
and write the phase and efficiency differences as
η=˜
Beff
df2
CD sinc σ
σ0cos πσ
σ0,(33)
∆Φ = ˜
Aeff
d
CD
πσ
σ0
cot πσ
σ0.(34)
The coefficients ˜
Aeff and ˜
Beff then only depend on the material of the line stack. This is perfectly consistent
with our heuristic statement on the strength of the phase differences as given in (16), with the strength now
represented by the effective amplitude ˜
Aeff . Note also that there is no pitch dependence for ∆Φ, which is
consistent with the numerical results (cf. Figure 4).
So far, these results are only valid for m=0. Form= 0, we find from Equation (26)
∆Φ0=Aeff
1f=˜
Aeff
f
CD (1 f).(35)
In contrast to the phase difference for m=0,form= 0 there is a dependence of ∆Φ0on the fill factor fand
therefore also on the pitch. For increasing pitch at fixed CD, fapproaches zero and so does ∆Φ0. Interestingly,
even for large pitches, the difference between ∆Φ0and ∆Φ1never approaches zero. Instead, it converges towards
a finite value, which can be found be letting σ0 in Equation (34) yielding
∆Φ1∆Φ0= lim
σ0∆Φ = ˜
Aeff
CD ,(36)
since limx0xcot(x) = 1. This means that even for large pitches there is always a difference of ˜
Aeff
CD between the
phase differences of the zeroth and first diffraction order.
In Figure 8, we compare the phase difference of our approximate model according to Equation (34) with the
results from rigorous calculations for a 300nm and a 500nm line. The agreement is remarkable, although the
deviations from the rigorous results are somewhat larger for the 300nm line. We should stress again that both
curves have been obtained with the same parameter ˜
Aeff , since the CD-dependence is given by 1/CD.
Proc. of SPIE Vol. 6730 67301T-10
phase
difference TM-TE
[nm]
L
phase
difference TM-TE
[nm]
00. •,,
5J0/'// //'\Th' :
N
•1
I I I I I I I
I I I I I I I
Figure 8. Comparison of the rigorous (blue dashed with asterisks) and approximate (red solid) results for a 300nm line
(left) and a 500nm line (right).
6. EXPERIMENTAL RESULTS
Experiments to verify the polarization induced astigmatism effects of the mask have been performed on three
different projection lens systems: The ASML TWINSCANTM XT:1400 (NA = 0.93), XT:1700i (NA = 1.2) and
XT:1900i (NA = 1.35).
Figure 9a shows the experimental results on a ASML TWINSCANTM XT:1400. The structures under in-
vestigation were isolated 180nm Chrome H and V lines, illuminated by a y-polarized low sigma setting with
σouter =0.22 and reduced NA of 0.85. With a dose of about 30 mJ/cm2, where the line prints to size, the focus
shift is about 30nm. As energy increases the BF difference grows. At a dose of 45mJ/cm2, one can find BF
differences up to 300nm. Aerial image simulations in Figures 9b and 9c qualitatively confirm the experimental
a) experiment b) simulation (3D + Jones pupil) c) simulation (3D, no Jones pupil)
Figure 9. Experimental and simulated Bossungs for 180nm isolated Chrome lines on MoSi. Exposed with a XT:1400 at
low sigma and linear y polarization. Upper row: V-line (TE polarization), Lower row: H-line (TM polarization).
Proc. of SPIE Vol. 6730 67301T-11
CD
CD
CD
I I I I I I I
Horizontal
4865
0.00 0.05 0.10 0.15 0.20
I I I I I I I
CD
CD
CD
I I I I I I I I I
Horizontal
CD
-0.1 0.0 0.1 0.2 0.3
I I I I I I I I I
a)
c)
b)
d)
Figure 10. Bossung curves for 200nm vertical & horizontal isolated 6% MoSi lines on the XT:1700i exposed with low
sigma and y polarization. a) and b) experimental data, c) and d) resist image simulations.
a)
c)
b)
d)
Figure 11. Bossung curves for 200nm vertical & horizontal isolated Cr lines on the XT:1700i exposed with low sigma and
y-polarization a) and b) experimental data, c) and d) resist image simulations.
Proc. of SPIE Vol. 6730 67301T-12
unPol AttPSM yPol AttPSM
0,
unPol Binary yPol Binary nPnI Binary
results. In Figure 9b the simulations were performed with a 3D mask and the lens Jones pupil, in Figure 9c
the simulations were reran without the Jones pupil. Although the 3D mask simulations without the Jones pupil
yield values which are similar to the experimental results, the simulations including the lens Jones pupil yield a
better match.
The results obtained from the ASML TWINSCANTM XT:1700i are presented for 200nm isolated lines in
Figure 10, for a 6% MoSi AttPSM reticle, and in Figure 11 for a Chrome on Quartz reticle. Both reticles were
imaged with a conventional source set to 0.17 σouter and linear y-polarization. In Figure 10, BF roughly shifts
100nm for vertical lines with an energy delta of 20mJ/cm2. For Figure 11, BF roughly shifts 200nm for vertical
lines with an energy delta of 20mJ/cm2. The documented effect is twice as large for chrome on quarts as it is for
6% MoSi AttPSM. For the chrome on quartz reticle, a strong deformation of the Bossung curves can be observed.
For higher values of dose, the Bossungs start to develop two extremes within the considered focus range, which
makes the definition of a best focus ambiguous. Also notice the strong tilt of the Bossung curves around zero
defocus. For both Figure 10 and Figure 11 subset c) and d) we plot matching aerial image simulations in resist
using only the 3D mask effects. The agreement is remarkable.
In Figures 12 and 13 we show results obtained on the ASML TWINSCANTM XT:1900i for both an isolated
200nm 6% MoSi feature and a chrome on MoSi feature, with the latter having an additional layer of 60nm
Chrome on a 70nm MoSi base. Since the reticle only had vertical structures, the experiments were performed
for x-polarized and y-polarized light. In addition unpolarized exposures were performed. The results for the 6%
MoSi mask are shown in Figure 12. For the unpolarized case the Bossungs exhibit a symmetry about the point
of best focus, with the focus being almost independent of dose. The polarized cases show a clear Bossung tilt in
opposing directions which leads to a dose dependent BF delta. For Figure 13, the polarization effect on BF is
much more pronounced for the 200nm chrome on MoSi. For the unpolarized case, some SEM data is missing.
This is due to an non exposure related issue during data collection.
a) b) c)
Figure 12. Experimental data obtained for 200nm vertical isolated 6% MoSi lines with the XT:1900i. a) unpolarized,
b)y-polarized, c) x-polarized illumination.
a) b) c)
Figure 13. Experimental data obtained for 200nm vertical isolated chrome on MoSi lines with the XT:1900i. a) unpolarized,
b)y-polarized, c) x-polarized illumination.
Proc. of SPIE Vol. 6730 67301T-13
7. CONCLUSIONS
We have shown that for relatively large structures, topographic mask effects can have a nonnegligible impact
on the lithographic performance, when used with polarized light. The finite thickness of the lines can influence
the phases of the diffraction orders in such a way that it leads to a polarization dependent focus shifts. This
polarization dependence translates into a best focus difference between horizontal and vertical lines, when printed
at the same time using linear polarization in the illumination. As for unpolarized light, there is no focus difference,
hence we can speak of polarization induced astigmatism due to 3D mask effects. We showed that these effects can
be traced back to phase differences in the diffraction orders between TE and TM polarization. These differences
are mainly caused by the vertical walls, where the boundary conditions for the electromagnetic field differ for
TE and TM.
We introduced a simple extension of the Kirchhoff approach to account for these phase effects by adding two
delta functions with a certain amplitude and phase at the step locations into the mask transmission function.
For small amplitudes it turned out that the phase differences can be described by a single effective parameter,
which depends only on the absorber material. This parameter has to be found through comparison with rigorous
simulations, but once fixed for a given material, the phase effects can be computed with a remarkably high
accuracy.
From the experiments and simulations, it became obvious that these phase effects can lead to strong po-
larization dependent distortions of the Bossung curves, causing large focus differences between horizontal and
vertical lines. These focus shifts are combinations of a real defocus and focus shifts introduced by the tilting of
the Bossung curves. As the position of best focus becomes particularly sensitive to the tilt in the isofocal region,
seemingly large focus differences of several hundred nanometers can be obtained. It may even happen that the
definition of BF becomes ambiguous, since the Bossung curves may have two local extrema. However, in this
region, the Bossung curves are usually very flat, so that CD deviations with defocus stay rather small. Therefore,
one must be cautious to use single Bossung curves to define BF, it is in this case rather more appropriate to use
the center of the process window.
Nevertheless, it became obvious that even for large structures, is it not enough to include polarization effects
from the lens or the illuminator and consider a Kirchhoff mask, since the mask effects may be of the same
order or ever stronger than the other polarization effects. As focus and CD budgets become ever tighter it
therefore might become inevitable to also include 3D effects for the large and seemingly uncritical structures
from the very beginning. As the computation time to solve Maxwell’s equations strongly increases with the size
of the considered structures, our approach to describe the phase (and amplitude) effects through simple analytic
formulae may serve as a guideline to set up a modified Kirchhoff approach, which takes care of these polarization
effects. Of course, the unknown parameters Aand φwould have first to be found through comparison with
rigorously computed diffraction coefficients, but as they only depend on the thickness and refractive indices of
the lines, one would need only a few values, corresponding to a 6% MoSi or a Cr mask of a particular thickness.
In this study, we have not addressed possibilities of mitigating these phase effects. As the phase effects are
strongly material dependent, it is conceivable that by choosing alternative mask materials the phase effects could
be further reduced. Another possibility could be the coating of the side walls by some appropriate material
or some combination thereof, which might have an inverse phase effect that could, at least to some extend,
neutralize the polarization dependence. A third remedy could be to completely fill the spaces between the lines
with either the blank material or some other appropriate transparent material. However, this remains to be
studied in more detail.
ACKNOWLEDGMENTS
The authors would like to thank ASM Lithography, Robert Routh, Kevin Cummings and the College of Nanoscale
Science & Engineering for supporting this research. Special thanks to the ’1900 apps team’ for performing the
exposures on the XT:1900i, and Mariette Berende-Hoogendijk for the SEM measurements. We also thank Aksel
ohnermeier and Paul Gr¨aupner for valuable input and discussions.
Proc. of SPIE Vol. 6730 67301T-14
REFERENCES
1. A. Erdmann, “Topography effects and wave aberrations in advanced PSM technology,” Proc. SPIE 4346,
p. 345, 2001.
2. A. Erdmann, “Mask Modeling in the Low k1 and Ultrahigh NA Regime: Phase and Polarization Effects,”
Proc. SPIE 5835, p. 69, 2005.
3. W. de Boeij, “Enabling the 45nm node by hyper-NA polarized lithography,” Proc. SPIE 6154, p. 61540B,
2006.
4. M. Totzeck, “How to describe polarization influence on imaging,” Proc. SPIE 5754, p. 23, 2004.
Proc. of SPIE Vol. 6730 67301T-15
... Variation of the pitch modifies only the sampling rate of this curve. Such behavior was first observed by Ruoff et al. 25 for absorber lines on DUV mask and later also found for EUV masks. 26 Closer investigation of Figs. 2 and 3 reveals some further details: the jump of the phase of the zero order compared with the characteristic curve of the phase values of all other diffraction orders is close to π and 0 for lines and spaces, respectively. ...
... Therefore, it can be also referred as polarization-induced astigmatism. 25 The investigated EUV masks are almost insensitive to polarization effects, but they exhibit a reversal of the sign of the Z 4 and Z 9 coefficients between lines and spaces. This suggests opposite directions of best-focus shifts Fig. 7 Results of Zernike analysis for 4 × 16 nm lines on EUV masks versus incidence angle (horizontal axis) and thickness of the absorber layer (y -axis). ...
Article
The mask plays a significant role as an active optical element in lithography, for both deep ultraviolet (DUV) and extreme ultraviolet (EUV) lithography. Mask-induced and feature-dependent shifts of the best-focus position and other aberration-like effects were reported both for DUV immersion and for EUV lithography. We employ rigorous computation of light diffraction from lithographic masks in combination with aerial image simulation to study the root causes of these effects and their dependencies from mask and optical system parameters. Special emphasis is put on the comparison of transmission masks for DUV lithography and reflective masks for EUV lithography, respectively. Several strategies to compensate the mask-induced phase effects are discussed.
... [47][48][49] The increased variation of the phase for small linewidths indicates mask 3D effects that are very similar to wave aberrations of the projection lens. [50][51][52] The significant impact of mask 3D effects on the phase and polarization characteristics of attPSM was confirmed by quantitative phase imaging. Shanker et al. 53 analyzed thick-mask edge-diffraction effects in attPSM by extracting the optical phase at the wafer plane from a series of through-focus aerial images with 193 nm light. ...
... Therefore it can be also referred as polarization-induced astigmatism. 16 The investigated EUV masks are almost insensitive to polarization effects, but they exhibit a reversal of the sign of the Z 4 and Z 9 coefficients between lines and spaces. This suggests opposite directions of best-focus shifts between dark and bright features, at least for certain ranges of feature sizes, pitches and illumination conditions. ...
Article
The mask plays a significant role as an active optical element in lithography, for both EUV and immersion lithography. Mask-induced and feature dependent shifts of the best focus position and other aberration-like effects were reported both for deep ultraviolet (DUV) immersion and for EUV lithography. We employ rigorous computation of light diffraction from lithographic masks in combination with aerial image simulation to study the root causes of these effects and their dependencies from mask and optical system parameters. Special emphasis is put on the comparison of transmission masks for DUV lithography and reflective masks for EUV lithography, respectively.
... Differences are also observed in the magnitude of the recovered pupil spectrum (Fig. 9), which shows stronger high-frequency diffraction lobes in the spectrum for Y polarization (with the central frequency having been shifted by the illumination to the right edge). Additional insights have also been drawn from observing the phase of the recovered pupil by other authors, 1,6,15 which is in the scope of future work. ...
Article
Full-text available
Mask topography contributes diffraction-induced phase near edges, affecting the through-focus intensity variation and hence the process window at the wafer. We analyze the impact of edge diffraction on projection printing directly with experiments on an aerial image measurement system (AIMS). We show here that topographic effects change with illumination angle and can be quantified using through-focus intensity measurements. Off- axis incidence influences not just defocus image behavior (as for normal incidence), but also the at-focus intensity at wafer. Moreover, with oblique illumination, mask diffraction varies for left-facing and right-facing sidewalls, the nature of the asymmetry being polarization dependent. The image degradation due the polarization parallel to the sidewall (TE) is seen to be stronger, owing to the interplay of mask topography and pupil filtering in the imaging system. This translates to a CD variation of 2% between the two polarizations, even at focus. A simple thin-mask boundary layer model that treats each sidewall independently is shown to be able to approximate mask topography induced diffraction for both polarizations with 5-10nm wide boundary layers.
... These effects were first observed eight years ago when switching from un-polarized to polarized light during a 193nm lithography process for the printing of a dense grating. 1 A difference between the best focus position (i.e., when the highest contrast for the projected image is achieved) for transverse electric and transverse magnetic polarized light was observed and was linked to the difference in the phase of the diffracted orders. Over the years, the number of lithographic effects that have been observed during wafer printing-and which can be linked to mask topography-have been steadily growing. ...
Article
We will summarize our work on mask topography-induced effects over the last 5 years. We will give a full physical explanation of the effects that can be observed from exposed wafers in state-of-the-art immersion and extreme ultraviolet photolithography. The mask topography-induced phase leads to vertical and lateral displacements of the aerial image, resulting in feature-dependent best focus and position. The feature dependency has been studied for gratings through pitch and size and for two-trench arrangements. The physical explanation involves the analysis and quantification of phase effects in a similar way as was done for projection lens aberrations one decade ago. Phase effects, derived both from rigorous simulations and an analytical model, will be compared with exposure figure or merits (e.g., best focus per feature) and correlate well. Therefore, the analysis of mask topography induced phase and the reduction thereof by absorber thickness optimization can be used to drive lithography improvements. © 2016 Society of Photo-Optical Instrumentation Engineers (SPIE).
Article
A simplified model is built to calculate the mask diffraction field in extreme-ultraviolet lithography. In this model, the analytical expression of the diffraction fields is derived by incident-ray tracing. The mask in the simplified model includes two parts, the multilayer structure and the absorber layer structure. The diffraction of multilayer is approximated as mirror reflection, and the diffraction field of the absorber layer is calculated using the modified thin mask model, where the absorber layer is equivalent to a thin mask located on a certain plane. The boundary pulse represents the boundary diffraction-wave effects. The geometric-optical wave through the absorber layer is modified by determining the position of the thin mask plane and the amplitude and phase of the boundary pulse. This modified thin mask model for the absorber layer can be used for 11 nm line/space patterns with oblique incident angles no more than 12°. Taking 22 nm dense lines pattern at 6° incident angle as an example, the results of the simplified extreme-ultravilet lithography mask model are consistent with the rigorous simulation.
Conference Paper
Compact mask models provide an alternative to speed up rigorous mask diffraction computation based on electromagnetic field (EMF) modeling. The high time expense of the rigorous mask models in the simulation process challenges the exploration of innovative modeling techniques to compromise accuracy and speed in the computation of the diffracted field and vectorial imaging in optical lithographic systems. The Artificial Neural Network (ANN) approach is presented as an alternative to retrieve the spectrum of the mask layout in an accurate yet efficient way. The validity of the ANN for different illuminations, feature sizes, pitches and shapes is investigated. The evaluation of the performance of this approach is performed by a process windows analysis, comparison of the spectra, best focus and critical dimension (CD) through pitch. The application of various layouts demonstrated that the ANN can also be trained with different patterns to reproduce various effects such as: shift of the line position, different linewidths and line ends. Comparisons of the ANN approach with other compact models such as boundary layer model, pulses modification, spectrum correction and pupil filtering techniques are presented.
Conference Paper
Of keen interest to the IC industry are advanced computational lithography applications such as Optical Proximity Correction, OPC, Optical Proximity Effect matching, OPEM, and Source-Mask Optimization, SMO. Lithographic mask models used by these simulators and their interactions with scanner illuminator models are key drivers impacting the accuracy of the image predications of the computational lithography applications. To construct topographic mask model for hyper-NA scanner, the interactions of the fields with the mask topography have to be accounted for by numerically solving Maxwell’s equations. The simulators used to predict the image formation in the hyper-NA scanners have to rigorously treat the topographic masks and the interaction of the mask topography with the scanner illuminators. Such mask models come at a high computational cost and pose challenging accuracy vs. compute time tradeoffs. To address the high costs of the computational lithography for hyper-NA scanners, we have adopted Reduced Basis, RB, method to efficiently extract accurate, near field images from a modest sample of rigorous, Finite Element, FE, solutions of Maxwell’s equations for the topographic masks. The combination of RB and FE methods provides means to efficiently generate near filed images of the topographic masks illuminated at oblique angles representing complex illuminator designs. The RB method’s ability to provide reliable results from a small set of pre-computed, rigorous results provides potentially tremendous computational cost advantage. In this report we present RB/FE technique and discuss the accuracy vs. compute time tradeoffs of hyper-NA imaging models incorporating topographic mask images obtained with the RB/FE method. The examples we present are representative of the analysis of the optical proximity effects for the current generation of IC designs.
Article
This article reviews modeling approaches for optical and extreme ultraviolet (EUV) projection lithography. It explains the models for the rigorous computation of light diffraction from lithographic masks, a vector formulation of image formation in projection scanners and models for chemical amplified resists (CAR). Several examples demonstrate the application of these models and related computation techniques. It is shown how computational lithography supports innovative optics and material-driven resolution enhancement solutions but also how it helps to comprehend and master the lithographic process.
Article
Full-text available
Both mask design and quality of the projection optics have a large impact on the performance of a phase shift mask (PSM). Topographic features on the reticle such as etched trenches in alternating PSM produce a spectrum of the diffracted light which differs from that one of an infinitely thin amplitude/phase object, as it is assumed in standard imaging algorithms. Many authors have investigated the consequences of this phenomenon with respect to aberration free imaging. However, the diffraction of light from topographic features implies also a modified interaction between the mask and wave aberrations of the projector. Rigorous simulation of the light diffraction from the mask is combined with standard lithography imaging algorithms to explore the interaction of topography effects and wave aberrations. For example, the nominal shift of a phase edge in the final resist profile can result both from topography effects and/or from odd-order wave aberrations such as tilt and coma. The sensitivity of typical lithographic parameters with respect to topography parameters and typical wave aberrations is investigated. PSM are also used for the monitoring of aberrations. Neglecting the topography of these phase objects may result in a misinterpretation of aberration phenomena. Consequences of rigorous diffraction defects for the design and interpretation of phase objects in aberration monitors will be discussed.
Conference Paper
We give a general introduction into polarized imaging and report on a Jones-pupil approach for a complete evaluation of the resulting optical performance. The Jones pupil assigns a Jones matrix to each point of the exit pupil describing the impact of both the global phase and the polarization on imaging. While we can learn already a lot about the optical system by taking a close look at the Jones pupil - and starting imaging simulations from it - a quantitative assessment is necessary for a complete evaluation of imaging. To do this, we generalize the concept of scalar Zernike aberrations to Jones-Zernike aberrations by expansion of the Jones pupil into vector polynomials. The resulting method is non-paraxial, i.e. the effect of the polarization dependent contrast loss for high numerical apertures is included. The aberrations of the Jones-matrix pupil are a suitable tool to identify the main drivers determining the polarization performance. Furthermore, they enable us to compare the polarized and the unpolarized performance of the such characterized lithographic system.
Article
The introduction of immersion step and scan systems has opened the road for hyper-NA lenses (NA > 1). At these NA's polarization control becomes a key parameter in imaging. Application of polarized illumination leads to an increase of contrast and exposure latitude. The resulting resolution enhancement offered by polarized illumination enables 45nm node lithography with an ArF, NA=1.2 system. Hyper-NA systems utilizing polarized illumination must be fully compatible with all requirements for a volume production tool: maintaining imaging performance at full throughput, overlay and focus control; flexibility and ease-of-use are essential features. Adequate polarization control is realized by employing polarization-preserving optics, and by automated in-line metrology to optimize the system for any selected polarization state. In this paper we address the improvements of polarization for the 65nm and 45nm imaging node applications. Experimental results describing the imaging effects while using polarized illumination on high-NA (NA=0.93) and hyper-NA (NA=1.2) exposure tools will be shown. These data will also be compared to simulations. In addition, this paper includes a short section that deals with the issues of reticle birefringence. Finally, system control and in-line metrology under high-volume production conditions will be discussed.
Article
This paper reviews state of the art mask modeling for optical lithography. Rigorous electromagnetic field (EMF) simu-lation of light diffraction from optical masks is compared to the traditional assumption of an infinitely thin mask, the so called Kirchhoff approach. Rigorous EMF simulation will be employed to analyze mask polarization phenomena which become important in the ultrahigh NA regime. Several important lithographic phenomena, which can be explained only with rigorous EMF simulation, are discussed. This includes the printability of small assist features, intensity imbalanc-ing for alternating PSM, and process window deformations. The paper concludes with a discussion on material issues and algorithmic extensions which will be necessary for an accurate modeling of future mask technology.