A. Chabli

A. Chabli
Atomic Energy and Alternative Energies Commission | CEA · CEA Technologies

PhD

About

120
Publications
7,738
Reads
How we measure 'reads'
A 'read' is counted each time someone views a publication summary (such as the title, abstract, and list of authors), clicks on a figure, or views or downloads the full-text. Learn more
1,295
Citations

Publications

Publications (120)
Article
Full-text available
This paper proposes a novel engineering approach to control molten metals at high temperatures considering the industrial environment of such materials. To reduce analysis time and cost, in-line analysis techniques are more advantageous as they provide real-time information about melt composition. For this reason, recent research works focus on the...
Article
Full-text available
Original instrumental setups embedded in industrial-type multi-diamond-wire sawing equipment are presented for in situ measurements of the apparent wire diameter, the vertical force applied to the wire web, and the wire-web bow during the cutting of crystalline silicon bricks into wafers. The proportionality relationship between the vertical force...
Article
This paper proposes a novel engineering approach to control molten metals at high temperatures considering the industrial environment of such materials. To reduce analysis time and cost, in-line analysis techniques are more advantageous as they provide real-time information about melt composition. For this reason, recent research works focus on the...
Article
Passivating the contacts of crystalline silicon (c-Si) solar cells (SC) with a poly-crystalline silicon (poly-Si) layer on top of a thin silicon oxide (SiOx) is currently sparking interest for reducing carrier recombination at the interface between the metal electrode and the c-Si substrate. However, due to the interrelation between different mecha...
Conference Paper
Full-text available
Since uncertainties are often overlooked, this analysis highlights why considering uncertainties on PV power or efficiency values is crucial in order to compare published values for different PV technologies. Following the International Energy Agency Report on “Uncertainties in PV System Yield predictions and Assessments” and European FP7 Sophia pr...
Article
Reducing the as-cut thickness of silicon wafers is one of the key issues to significantly lower the manufacturing costs of the photovoltaic industry. The pursuit of this objective is encouraged by the outstanding development of diamond wire sawing technology, which in addition to being twice more productive, also has great potential for further ker...
Article
Full-text available
Context. Within the framework of the second-generation instrumentation of the Very Large Telescope Interferometer of the European Southern Observatory we have developed the four-telescope beam combiner in integrated optics. Aims. We optimized the performance of such beam combiners, for the first time in the near-infrared K band, for the GRAVITY ins...
Chapter
This chapter gives a rapid overview of the physical and chemical characterization (PCC) landscape so as to highlight the place of nanoIBA in the characterization forest. It focuses on the characterization techniques that involve ions either as primary or secondary particles. All involve ions as primary particles except atom probe tomography (APT) t...
Chapter
This chapter addresses the specificity of the interaction between ions and condensed matter, and discusses a selected number of physical properties of the ions used by the ion beam analysis (IBA) techniques and compares them with those of electrons and photons used as a probe, particularly by electron microscopy and X-ray diffraction. Ion detectors...
Chapter
Ion beam analysis has played an important role in characterizing the semiconductor-dielectric interface as well as in understanding the fundamental physical processes that lead to the growth of thermal silica on silicon. This chapter gives an early example of the use of channeling to quantitatively determine the number of silicon atoms displaced fr...
Chapter
Common to all ion beam analysis (IBA) instruments are particle accelerators that are used to generate beams of light ions. Electrostatic accelerators can have a very good energy stability and very low acceleration voltage ripple, resulting in beams with narrow energy distributions (typically better than 1 keV FWHM for a few MVs of terminal potentia...
Article
Full-text available
In this work, we report on the PAS characterization of sintered HfO2 bulk ceramic and HfO2 layers deposited with various methods on a silicon substrate with a layer thickness ranging from 25 to 100 nm. PAS measurements are sensitive to the deposition process type and the post-deposition annealing. Chemical and structural characterisations have been...
Article
Full-text available
The recycling of the Si powder resulting from the kerf loss during silicon ingot cutting into wafers for photovoltaic application shows both significant and achievable economic and environmental benefits. A combined x-ray photoelectron spectroscopy (XPS), attenuated total reflection (ATR)-Fourier transform infrared (FTIR) and micro-Raman spectral a...
Chapter
Oxygen vacancies in high‐k oxides are foreseen to have detrimental effects in devices like high‐k metal gate MOS transistors [1] and beneficial ones in RRAM [2]. In this context techniques capable to characterize defects in ultra‐thin (2 thin films and revealed the impact of the grain nanostructure on the electronic structures [4]. When traversing...
Article
A full automated NIR polariscope has been specially built for residual stress measurement in crystal silicon wafers for solar applications. The multiple configurations of the instrument allow measuring both the isoclinic and the isochromatic parameters on a full field. A new algorithm has also been developed to extract the maximal shear stress insi...
Article
Full-text available
Monolike silicon wafers can achieve solar cells efficiencies close to those of CZ silicon. However, this performance is affected by the presence of 2D structural defects, especially sub-grain boundaries zones that expand in the upper part of the ingots. In the present work, the relations between the structure of different types of 2D defects, previ...
Conference Paper
Full-text available
The growth of high quality quasi-monocrystalline ingots at industrial scale is crucial to achieve significant market share. This requires to suppress the sources of zones with degenerating sub-grain boundaries. One of the major sources of these sub-grain boundaries is the misorientation between adjacent seeds placed at the bottom of the crucible. H...
Conference Paper
We present a femtosecond heterodyne pump probe platform with electronically synchronized Ytterbium laser. The main goal of this platform is to provide thermal characterization at short space and time scales. Picosecond acoustic phenomena can also be observed and used to extract information such as acoustic wave velocities. Thermal conductivities an...
Conference Paper
In the field of micro- and nano-technologies, physical and chemical characterization is a powerful tool to support and improve the material choice, the analysis and correction of scaling effects, the design and validation of integration processes. Impressive capabilities are demonstrated in terms of sensitivity, selectivity, depth resolution, and s...
Article
POSTER - EFTF - European Frequency and Time Forum - Neuchâtel - 24 Juin - 26 Juin 2014
Article
Full-text available
The influence of strain on the thermally induced dewetting mechanism of silicon films is reported. This study shows that the initial strain level in the silicon film significantly affects the final size and shape of the silicon agglomerates resulting from the film dewetting. With the increase of the biaxial strain up to 1.6%, the size of the silico...
Data
Full-text available
This image represents an electron tomogram of a Silicon nanowire. For more information see the video in the manuscript V.T. Renard et al. Nature Nanotech 4, 654 - 657 (2009)
Data
This video shows en electron tomogram acquired from a silicon nanowire starting with a volume view. A series of vertical slices through the 3D volume are then displayed in sequence. In the isosurface representations, the first threshold used corresponds to the intensity of the Si, hence showing the outer surface (in blue). A second threshold is def...
Article
In this article we demonstrate the growth of silicon carbide pyramidal shaped nanoparticles from the carbonate contamination of (001) silicon surface. The growth process involves thermal annealing under ultra high vacuum conditions at temperatures ranging from 1050 K to 1150 K. The silicon carbide composition of the particles is confirmed by scanni...
Article
The influence of strain on the thermally induced dewetting mechanism of silicon films is reported. This study shows that the initial strain level in the silicon film significantly affects the final size and shape of the silicon agglomerates resulting from the film dewetting. With the increase of the biaxial strain up to 1.6%, the size of the silico...
Article
Full-text available
The capabilities of nano-Auger were assessed for the characterization of SiGe multilayers epitaxially grown on Si(001) wafers. Reference sample consisting in stack of (300-500)-nm thick SiGe layers with a Ge content increasing in discrete steps from 6 to 30 % (as determined by X-ray diffraction) were used to that end. Composition measurements were...
Conference Paper
Large-scale research infrastructures offer unrivalled performance for the advanced characterisation of micro- and nano-electronic devices and systems. However, the access to large-scale facilities permitting this unique advanced characterisation is well known to be challenging and is generally incompatible with industrial project management. The Fr...
Article
Full-text available
For conventional angle-resolved x-ray photoelectron spectroscopy (ARXPS), the area under the core-level peaks depends mainly on the in-depth distribution of chemical species at the top surface of a specimen. But the x-ray photoelectron spectroscopy (XPS) intensity is also affected by tool-related geometrical factors such as the shape of the x-ray b...
Article
Full-text available
Structures of nanoparticles are becoming increasingly complex as they keep acquiring multi-functionality. These nanoparticles often adopt a core-shell structure and comprise different types of materials. Their outer shell forms the interface between the particle core and the environment. and its porosity governs any material exchange. Current techn...
Article
Off‐axis electron holography has been used to measure the strain and the dopant fields in semiconductor specimens that have been prepared for examination by focused ion beam milling. By using the electrical and mechanical stability of the FEI Titan TEM, electron holograms have been acquired for long time periods which leads to active dopant and s...
Article
For the analysis of certified semiconducting Al0.7Ga0.3As∕GaAs superlattices and photovoltaic samples, we used new generations Auger nano-probes such as the JEOL JAMP-9500F Field emission Microprobe and the PHI-700 Xi system. These nano-probes are generally used for the chemical analysis of complex nano-structures at the deca-nanometric scale. In t...
Article
In this work, we report a series of Kelvin Force Microscopy (KFM) measurements, suitable to observe the topography and the contact potential difference (CPD) distribution of the following stack: CdTe∕CdS∕ITO∕glass. The sample is prepared by mechanical polishing after cleavage to decrease the roughness. In order to have a better understanding of the...
Article
Scitation is the online home of leading journals and conference proceedings from AIP Publishing and AIP Member Societies
Article
Nowadays the orientation maps of polycrystalline material are necessary for a better understanding of, for example, the formation of voids in the interconnects of modern electronic devices. As new generation of devices has dramatically reduced in size, new tools are required to meet these spatial resolution specifications. In this work two electron...
Article
The imaging of surfaces using the PhotoElectron Emission Microscopy (PEEM) technique has recently received considerable interest, mainly thanks to the use of high brilliance synchrotron radiation which facilitates the study of surface properties and chemical selectivity. By inserting a transfer lens in the optical column of a high transmission an...
Article
Today, orientation maps of polycrystalline material are necessary for a better understanding of, for example, the formation of voids in the interconnects modern electronic devices. In this context, EBSD (Electron BackScattering Diffraction) has proved to be a powerful tool to measure grain orientation, but its spatial resolution is limited at the b...
Article
The impact of the deposition of a TiN electrode on the high-k oxide HfO2 has been investigated, focussing on the dielectric band gap. After the gate elaboration, a non-destructive approach combining Spectroscopic Ellipsometry (SE), Reflection Electron Energy Loss Spectroscopy (REELS) and X-ray Photoelectron Spectroscopy (XPS) was developed to probe...
Article
In this contribution, we present results of a non-destructive in-depth analysis of concentration of chemical components at buried interfaces on Ge-based CMOS by means of hard X-ray photoelectron spectroscopy (HAXPES) and low angle X-ray reflectivity (XRR). Two samples composed of a Ge/Si/SiO2/HfO2/TiN stack, with layer and interlayer thicknesses of...
Article
Focused ion beam milling is routinely used to prepare specimens with nm-scale site specificity for examination by transmission electron microscopy. Although low-energy milling techniques can be used to prepare excellent specimens for many TEM-based techniques, the case is more complicated for dopant profiling. Off-axis electron holography can in pr...
Article
Full-text available
We present a study of gate-all-around (GAA) Si nanowire transistor structures using high angle annular dark field (HAADF) STEM tomography. Device structures have been prepared in needle shaped samples using a focused ion beam (FIB), in order to allow sample rotation to +/− 80°. Tomograms are presented, both from a full three channel device structur...
Article
A quartz crystal microbalance and dissipation simultaneously measuring the frequency and the dissipation over more than one decade, from fundamental to overtone 15, is used to investigate the evaporation mechanisms of small water sessile droplets onto a gold surface. An unexpected weak increase in frequency is observed during the first stage of eva...
Article
Silicon specimens containing p-n junctions have been prepared for examination by off-axis electron holography using focused ion beam (FIB) milling. FIB milling modifies the surfaces of the specimens due to gallium implantation and the creation of defects which has the effect of reducing the active dopant concentration measured during electrical cha...
Article
Full-text available
Metallic contamination was key to the discovery of semiconductor nanowires, but today it stands in the way of their adoption by the semiconductor industry. This is because many of the metallic catalysts required for nanowire growth are not compatible with standard CMOS (complementary metal oxide semiconductor) fabrication processes. Nanowire synthe...
Conference Paper
Full-text available
The downscaling of Metal-Oxide-Semiconductor Field-Effect Transistor (MOSFET) devices leads to the implementation of a high dielectric constant oxide and a metal gate to improve the electrical performances. A detailed analysis of the chemical and structural properties of the gate stack is necessary to optimize the integration scheme. Here, the use...
Conference Paper
Full-text available
Depending on the level of the technological developments, the characterization techniques are mature to support them or still require protocol definition and relevance demonstration for the issues addressed. For Beyond CMOS and Extreme CMOS devices, the integration of nano-objects like nanowires and carbon nanotubes, brings about analysis requireme...
Article
Full-text available
The exceptional stability of the latest generation of electron microscopes has allowed off-axis electron holography to become a relatively straightforward technique to perform experimentally. In addition, focused ion beam milling can be used to prepare a thin TEM specimens that contain an individual devices with nm-scale site specificity. Although...
Article
Full-text available
The analysis of the Work Function measurements, of different materials, using the Kelvin Force Microscopy technique (KFM) is not trivial. Various artifacts can alter the interpretation of KFM results. Thus a good understanding of experimental conditions effects, involved in a measurement procedure, is essential to provide a reliable interpretation...
Article
Gate‐all‐around (GAA) SiGe nanowire transistor structures have been studied using high angle annular dark field (HAADF) STEM tomography. Sample preparation has been optimized by isolating single devices in needle‐shaped specimens, using annular milling in the focused ion beam (FIB). Using this technique, images can be acquired over a tilt range...
Article
To fabricate and qualify nanodevices, characterization tools must be developed to provide a large panel of information over spatial scales spanning from the millimeter down to the nanometer. Synchrotron x-ray-based tomography techniques are getting increasing interest since they can provide fully three-dimensional (3D) images of morphology, element...
Article
Full-text available
The presence of an ultrathin oxide layer at the high- k/ SiO <sub>2</sub> interface may result in an interfacial dipole related to the specific high- k dielectric used for the gate stacks. 1 nm HfO <sub>2</sub>/ x nm Al <sub>2</sub> O <sub>3</sub>/ SiO <sub>2</sub>/ Si stacks with different x values ( x =0, 0.4, 0.8, 1.2) have been prepared by atom...
Article
Silicon p-n junction specimens have been prepared by focused ion beam milling for examination using off-axis electron holography. By using 28 nS pulsed XeCl excimer laser irradiation we have reduced the total electrically “inactive” thickness in these specimens from 142 to 5 nm. A platinum layer has been sputtered onto the specimen surfaces to remo...
Article
Full-text available
Thin TaN metallic barriers are used to prevent copper diffusion into porous low- k dielectrics such as a -SiOC : H for advanced interconnects. We investigate the detailed electronic properties of the TaN /a -SiOC : H stack. Here we combine ultraviolet and x-ray photoelectron spectroscopy to measure the chemical composition and the whole band diagra...
Article
The presence of gold on the sidewall of a tapered, single silicon nanowire is directly quantified from core-level nanospectra using energy-filtered photoelectron emission microscopy. The uniform island-type partial coverage of gold determined as 0.42 +/- 0.06 (similar to 1.8 ML) is in quantitative agreement with the diameter reduction of the gold c...
Article
The size reduction and increasing complexity of micro and nanotechnologies involve a need for advanced morphological and chemical characterization. Furthermore, reduced cycle time, low cost and high resolution imaging are required. To figure out this new challenge, a TEM and a full 200 and 300 mm wafer FIB-SEM dual beam for sample preparation have...
Chapter
Si calibration specimens have been grown for characterisation using off-axis electron holography. Holograms were acquired using an FEI Titan electron microscope in a specially designed room allowing long acquisition times to be used. We show a significant improvement in the signal-to-noise ratio in the phase images whilst using a low electron beam...
Chapter
The reduction in the size of state-of-the-art semiconductors provides challenges for the characterisation of the doped regions during device development [1]. Off-axis electron holography is a promising TEM-based technique that can be used to provide 2D dopant maps with nm-scale resolution [2].
Article
Off-axis electron holography is a TEM based technique that uses a biprism to interfere an object wave that has passed through a specimen with a reference wave that has passed through only vacuum. From the interference pattern, or hologram, both phase and amplitude images can be reconstructed. In the absence of magnetic fields, the phase change, ΔΦ...
Article
In this study, we have investigated the use of electron tomography for the characterisation of novel stacked Si nanowire 3D devices. There has been significant interest in recent years in applying this technique to semiconductor device structures[1]. As devices become non-planar, and features become smaller than TEM specimen thickness, 3D analysis...
Article
Focused ion beam prepared silicon calibration specimens with boron doped layers have been examined using off-axis electron holography. By using a state-of-the-art FEI Titan microscope with unprecedented stability, we have been able to record holograms for time periods of 128 s with contrast levels of almost 40% and an average signal on the charge c...
Article
Full-text available
Photoelectron‐Emission Microscopy (PEEM) is the most promising approach to photoemission‐based (XPS, UPS) imaging techniques with high lateral resolution, typically below 100 nm. It has now reached its maturity with a new generation of instruments with energy‐filtering capabilities. Therefore UPS and XPS imaging with energy‐filtered PEEM (EF‐PEEM)...
Conference Paper
Off‐axis electron holography has been used to characterise the dopant potential in GaAs p‐n junctions. We show that the measured potential across the junctions is affected by both FIB specimen preparation and by charging in the TEM and suggest methods that can be used to minimise these problems.
Conference Paper
Full-text available
The scaling of CMOS devices makes mandatory the study of new materials to overcome the physical limitations of the Si technology. Germanium is a good candidate to replace silicon for the channel to improve the carrier mobility. High‐K dielectrics such as HfO2 are investigated to replace the gate oxide (SiO2) to decrease both leakage currents and EO...
Article
Full-text available
Evaporation of fluid at micro and nanometer scale may be used to self-assemble nanometre-sized particles in suspension. Evaporating process can be used to gently control flow in micro and nanofluidics, thus providing a potential mean to design a fine pattern onto a surface or to functionalize a nanoprobe tip. In this paper, we present an original e...
Article
Mechanical properties of AFM tips with high aspect ratio as single-wall carbon nanotube (SWNT) tips and needles of silicon carved with a focused ion beam (FIB) have been investigated. A phase locked loop (PLL)-controlled frequency modulation-atomic force microscope (FM-AFM) has been used to perform force distance measurements. Based on the understa...
Article
Full-text available
The need to reduce RC delay and cross talk in Cu interconnects means that ultra low-k dielectrics such as porous SiCOH are being integrated into microelectronic devices. Unfortunately porous materials lead to integration issues such as metal diffusion into the porosity of the dielectric, especially when chemical vapour deposition (CVD) methods are...
Article
Full-text available
Ellipsometric measurements are carried out on different commercial ultra low-K mesoporous thin films under several solvent vapor pressures. In a first step, both open porosity and skeleton refractive indices are estimated using linear and Lorentz-lorenz laws. In a second step, adsorption-desorption isotherms of selected solvents, giving refractive...
Article
Interests in infrared spectroscopy (IRS) have been stimulated by the increasing need for non-destructive surface characterization providing structural and chemical informations about the new materials used in microelectronic devices. Standard infrared spectroscopy of thin layers is limited because of its lack of sensitivity. The use of optical conf...
Article
Attenuated total reflection (ATR) spectroscopy and spectroscopic ellipsometry (SE) have been used to characterize oxides used for the scanning capacitance microscopy (SCM) technique. SCM has been used to study boron and phosphorous doped Si test structures epitaxially grown on (100) Si substrates. SCM samples have one-dimensional (1D) doping profil...
Article
In this work, a soft thermal treatment at 300degreesC in ambient air was performed to study, step-by-step, the evolution of silicon surface both after RCA and HF wet processes. From attenuated total reflection and contact angle measurements it can be concluded that even the use of low temperature oxidation does not able a single chemical terminatio...
Article
Al2O3 and HfO2 thin layers were deposited on either 0.7-nm chemical SiO2 surface layers, HF-dipped Si surfaces or on HF-dipped Si surfaces with an innovative Cl2 surface treatment. This chemical treatment leads to the formation of one mono-layer of –OH groups on the silicon surface without any SiOx growth. Thicknesses, composition, and structure of...
Article
Al2O3 and HfO2 thin layers were deposited on either 0.7-nm chemical SiO2 surface layers, HF-dipped Si surfaces or on HF-dipped Si surfaces with an innovative Cl2 surface treatment. This chemical treatment leads to the formation of one mono-layer of –OH groups on the silicon surface without any SiOx growth. Thicknesses, composition, and structure of...
Article
Ultraslow single- and multicharged ions (USMCI) have small kinetic energy compared with their potential energies. They can be used for surface preparation at room temperature, to engineer the top atomic layers of surfaces without modifying the substrate below, in processes such as ultrathin film growths, etching, deposition, or nanostructures fabri...
Article
Ge2Sb2Te5 films sandwiched by ZnS–SiO2 layers were studied by spectroscopic ellipsometry from room temperature up to 800°C. An irreversible modification of both materials is pointed out. ZnS cubic phase precipitation occurs after heating at 650°C, shown by grazing incidence X-ray diffraction. Chemical modification in phase change material is observ...
Article
The narrowest feature on current integrated circuits is the gate oxide—the thin dielectric layer that forms the basis of field effect device structures. Silicon dioxide is the dielectric of choice and, if the present miniaturization trend continues, the projected oxide thickness will be <1 nm in 2005. Therefore, ultrathin silicon oxide layers using...
Article
A theoretical analysis based on a perturbation method is used to elucidate the results of attenuated total reflection (ATR) measurements performed on silicon oxide layers of different thicknesses on silicon substrates. This analysis shows that the absorbance ATR spectrum in p polarization is the image of the layer energy loss function, under specif...
Article
Ultra-slow single and multicharged ions (USMCIs) can be used for surface preparation at room temperature, to engineer the top atomic layers of surfaces without modifying the substrate below, in processes such as ultra-thin films growth, etching, deposition, or nanostructures fabrication. The energy for the reaction is brought to the surface through...
Article
For a better understanding of the physical and electronic properties of emissive carbon films, one of the best ways is to compare the results obtained with several surface and structural analysis techniques. In this article, different types of carbon film depositions for developing large flat panel displays by field emission displays are analysed a...
Article
A multiple internal reflection (MIR) infrared monitoring system based on the two prism coupling geometry has been developed for the measurement of silicon wafer surfaces and interfaces. The new set-up we describe here increases the sensitivity of IR spectrometry by at least two orders of magnitude. It is shown that the MIR technique allows chemical...
Conference Paper
Full-text available
The initial growth mechanism of high-k layers deposited by atomic layer chemical vapor deposition (ALCVD) on differently prepared silicon surfaces and the interface structure, and its evolution, are studied using attenuated total reflection Fourier transformed infrared spectroscopy (ATR-FTIR) in a very interface-sensitive set-up. The ATR-FTIR techn...
Article
Organic contamination adsorbed on 200 mm silicon wafers was characterized using various analytical techniques. Surface hydrophobicity, apparent optical thickness and electrical surface charge are used to characterize the silicon surface state. They only give information on total organic contamination. MIR-FTIR is very sensitive for detecting CH2 an...
Article
A multiple internal reflection infrared monitoring system based on two-prism coupling geometry has been developed for the quantitative measurement of organic contamination on 200 or 300 mm silicon wafers. The method is rapid, simple, and can be carried out nondestructively. Varying the distance between the prisms couplers allows one to perform abso...
Conference Paper
Full-text available
The Smart-Cut(R) process, based on proton implantation and wafer bonding, appears more and more as a generic process. The first part of the paper is dedicated to the specific case of thermally induced splitting. Cavity growth by Ostwald ripening mechanism and crack propagation are responsible for thermally-induced splitting. In this case, the split...
Article
The ability of spectroscopic ellipsometry to characterize silicon nanostructures is assessed. Ellipsometric analysis is performed on samples obtained for various deposition times by Low Pressure Chemical Vapor Deposition (LPCVD) onto a thin oxide layer. The aggregate signature is evidenced in the 0.3 to 0.9 μm spectral range. It is correlated to Sc...

Network

Cited By