(a) Simplified schematic of SRAM cell array with currents relevant with various operation marked. The left and right parts show the currents relevant with read 0 and 1 and write 0 and 1, respectively. The currents for read 0 and write 0 in red, while the currents for read 1 and write 1 are in blue, (b) interconnect array in SRAM cell array, with periodic cells shown functional probability of failure, and (c) representative interconnects corresponding to a column of cells [49].

(a) Simplified schematic of SRAM cell array with currents relevant with various operation marked. The left and right parts show the currents relevant with read 0 and 1 and write 0 and 1, respectively. The currents for read 0 and write 0 in red, while the currents for read 1 and write 1 are in blue, (b) interconnect array in SRAM cell array, with periodic cells shown functional probability of failure, and (c) representative interconnects corresponding to a column of cells [49].

Source publication
Article
Full-text available
The advance of semiconductor technology not only enables integrated circuits with higher density and better performance but also increases their vulnerability to various aging mechanisms which occur from front-end to back-end. Analysis on the impact of aging mechanisms on circuits’ reliability is crucial for the design of reliable and sustainable e...

Contexts in source publication

Context 1
... includes five parts: microprocessor emulation, memory cell array activity extraction, computation of current in long interconnects, evaluation on time-dependent hydrostatic stress and the resistance shift of interconnects, and characterization of the interconnect EM lifetime distribution of a cache memory. Figure 22a shows a simplified schematic of an SRAM cell array which is handled in CacheEM with currents corresponding to specific operations (read 0 and1 and write 0 and1) marked. Figure 22b,c show interconnect-array in SRAM cell array and the representative interconnects corresponding to a column of cells [49]. ...
Context 2
... 22a shows a simplified schematic of an SRAM cell array which is handled in CacheEM with currents corresponding to specific operations (read 0 and1 and write 0 and1) marked. Figure 22b,c show interconnect-array in SRAM cell array and the representative interconnects corresponding to a column of cells [49]. L Start and L End are the segments from the array to the pre-charge and write drivers, respectively. ...

Citations

... For instance, it can induce timing errors and eventually lead to open circuit failures. The impact of EM on the reliability of VLSI circuits has been a topic of extensive research as a central interconnect reliability concern [4,5]. ...
Preprint
PDN Tile-Based electromigration (EM) compliance evaluation approach provides a more realistic reliability prediction for CMOS power delivery networks (PDNs) by considering grid redundancy.
... An overview of physics-based modelling approaches for electro migration and their applications for integrated circuit interconnects has been published by Wen-Sheng Zhao et al. 5 The impact of electromigration on power grids and signal interconnects is examined in this research. The conventional method and physics-based modeling for electromigration are described. ...
Article
Full-text available
We examined various interconnects utilised in very large-scale integration (VLSI). The expanding use of portable devices has increased the demand for low-power circuit design. Sub-threshold circuits are the greatest option to address the demand for even more-low power. However, decreased performance and increased variability are the main problems with sub-threshold circuits. Furthermore, global interconnects have a significant impact on the performance and power dissipation of sub-threshold circuits. For future VLSI circuit applications, interconnect is a brand-new and very promising alternative for which the propagation latency and stability must be analysed in order to substantiate its claim that it can replace existing interconnect designs.
... An overview of physics-based modelling approaches for electro migration and their applications for integrated circuit interconnects has been published by Wen-Sheng Zhao et al. [5]. The impact of electromigration on power grids and signal interconnects is examined in this research. ...
Article
Full-text available
Various interconnects utilised in very large-scale integration in this work. The expanding use of portable devices has increased the demand for low-power circuit design. Sub-threshold circuits are the greatest option to address the demand for even more-low power. However, decreased performance and increased variability are the main problems with sub-threshold circuits. Furthermore, global interconnects have a significant impact on the performance and power dissipation of sub-threshold circuits. For future VLSI circuit applications, interconnect is a brand-new and very promising alternative that has to have its propagation latency and stability analysed in order to substantiate its claim that it can replace existing interconnect designs
... As the current development of electronic products favors miniaturization and integration, the scale of micro-solder joints is becoming smaller, resulting in an increase in the current density carried by the solder joints [10][11][12]. At high current densities, solder joints generate not only electromigration [13,14] but also a large amount of Joule heat [15,16], which seriously affects the reliability of solder joints and has become one of the most important areas in current research [17][18][19]. ...
Article
Full-text available
Electromigration is one of the most important research issues affecting the reliability of solder joints. Current-induced Joule heating affects the electromigration behavior of solder joints. Solder joints with different cross-sectional areas were designed to obtain different Joule heating properties. The effects of the interfacial intermetallic compound (IMC) and mechanical properties of Sn58Bi/Cu solder joints were studied for different Joule heating properties. The results showed that as the cross-sectional area of the Sn58Bi/Cu solder joints increased, the Joule heating of the joint increased. The anode IMC thickness of the joint thickened and transformed into a planar shape. The Bi migrated to the anode region to form a Bi-rich layer and gradually increased in thickness. The cathode IMC thickness first increased, then decreased, and gradually dissolved. The Sn-rich layer formed near the solder side and gradually increased in thickness, with microcracks occurring when the cross-sectional area of the joint increased to 0.75 mm2. The joint shear fracture path moved from the soldering zone near the cathode IMC layer to the interfacial IMC layer. The fracture mechanism of the joint changed from a mixed brittle/tough fracture, dominated by deconstruction and secondary cracking, to a brittle fracture dominated by deconstruction. The joint shear strength was reduced by 60.9% compared to that in the absence of electromigration.
... Kim designs noise suppression structures that generate an electromagnetic bandgap and studies the mechanism of the proposed structure based on dispersion analysis [8]. Zheng [11]. ...
Article
Full-text available
Unlike transistors, the continuous downscaling of feature size in CMOS technology leads to a dramatic rise in interconnect resistivity and concomitant performance degradation [...]
... In a Cu damascene structure, the TaN/Ta barrier and the DB act as a boundary for the Cu, and this is where the depletion of metal atoms begins. As a result, Cu EM shifts into the voids through the nucleation, incubation, and growth phases [96]. The dimensions of the vias and metal determine the likelihood that the voids produced in this manner will affect the resistance. ...
Article
Full-text available
The Cu/low-k damascene process was introduced to alleviate the increase in the RC delay of Al/SiO2 interconnects, but now that the technology generation has reached 1× nm or lower, a number of limitations have become apparent. Due to the integration limit of low-k materials, the increase in the RC delay due to scaling can only be suppressed through metallization. As a result, various metallization methods have been proposed, including traditional barrier/liner thickness scaling, and new materials and integration schemes have been developed. This paper introduces these methods and summarizes the recent trends in metallization. It also includes a brief introduction to the Cu damascene process, an explanation of why the low-k approach faces limitations, and a discussion of the measures of reliability (electromigration and time-dependent dielectric breakdown) that are essential for all validation schemes.
Chapter
Optimal repeater insertion techniques are usually employed in long interconnects to improve the performance. As a promising alternative interconnect material, carbon nanotube (CNT) has attracted much attention in past several years. However, it is worth noting that the implementation of CNT interconnects is hindered by large contact resistance, which would affect the number and size of repeaters. In this chapter, the repeater insertion technique is explored for CNT interconnects, with the contact effect treated appropriately. It is demonstrated that multi-walled CNT (MWCNT) is susceptible to the contact resistance, and special attention should be paid to the repeater insertion in MWCNT interconnects. Further, both the delay and power consumption are considered in the design of repeaters. The particle swarm optimization (PSO) algorithm is employed to capture the optimal number and size of repeaters, and the results are used to train an artificial neural network (ANN). The developed procedure can be applied to the optimal design of interconnect system in nano-CMOS and future nano-carbon-based ICs.