Figure 1 - uploaded by S. Mark Spearing
Content may be subject to copyright.
(a) Schematic of the direct wafer bonding process. Two wafers with different shapes are contacted at a point and deform to a common shape as the wafers bond. (b) Shape maps of two typical 100 mm silicon wafers.  

(a) Schematic of the direct wafer bonding process. Two wafers with different shapes are contacted at a point and deform to a common shape as the wafers bond. (b) Shape maps of two typical 100 mm silicon wafers.  

Source publication
Article
Full-text available
Direct wafer bonding is a manufacturing process that is used in the fabrication of electronic, optical and mechanical microsystems. The initial step in the process requires that the wafers are sufficiently smooth, flat and compliant such that short-range surface forces can elastically deform the wafers and bring the surfaces into complete contact....

Contexts in source publication

Context 1
... shape deviations can result from manufacturing variations in wafer production as well as the deposition of residually stressed thin films on the surface. Shape maps of two bare 100 mm diameter silicon wafers that are from the same manufacturing lot are shown in figure 1b and demonstrate the type of shape variations that are commonly observed on prime grade semiconductor wafers. While the wafers shown in figure 1b have moderate flatness variations with a peak-to-valley height of 10 mm, larger variations, up to 100 mm across a 100 mm wafer, are not uncommon on poorly manufactured wafers or wafers with a highly stressed film on one surface. ...
Context 2
... application of this energy-based approach to direct bonding can be understood by considering the model bonding system illustrated in figure 1a. Two wafers that have different initial shapes must elastically deform to a common shape for bonding to occur. ...
Context 3
... period of 10 min was selected as the rate of bond advance was relatively slow at this point and the time period was short enough such that multiple pairs could be bonded sequentially without the ambient conditions (temperature and humidity) changing significantly. The IR images of four of the bonded pairs listed in table 1 are shown in figure 10. Also shown in the images are the bond fronts predicted using the finite- element model. ...
Context 4
... work of adhesion values extracted from the pairs in the bond propagation study is summarized in figure 11. Work of adhesion values calculated via the axisymmetric model and the finite-element model are both shown. ...
Context 5
... of adhesion values calculated via the axisymmetric model and the finite-element model are both shown. For the axisymmetric analytical results, the markers in figure 11 correspond to the W calculated from the average bond radii and curvature difference of the pair and the limits of the error bars represent the W calculated from the minimum and maximum bond radii and curvature. Across pairs P1-P4, the average work of adhesion values extracted using the axisymmetric model range from 29 to 43 mJ m K2 , while those extracted with the numerical model range from 36 to 40 mJ m K2 . ...
Context 6
... numerical model presented is significantly more flexible and allows the bonding of wafers with complicated shapes and etch patterns to be analysed. The excellent agreement between the predicted and observed bond areas shown in figure 10 and the consistency in extracted work of adhesion values (numerical results) shown in figure 11 indicate that the proposed model accurately captures the mechanics of the bonding process and has the ability to model the effect of wafer-scale shape variations in direct bonding. ...
Context 7
... numerical model presented is significantly more flexible and allows the bonding of wafers with complicated shapes and etch patterns to be analysed. The excellent agreement between the predicted and observed bond areas shown in figure 10 and the consistency in extracted work of adhesion values (numerical results) shown in figure 11 indicate that the proposed model accurately captures the mechanics of the bonding process and has the ability to model the effect of wafer-scale shape variations in direct bonding. ...

Similar publications

Article
Full-text available
Adhesives have become an inseparable part of our modern styled life where it is used in every aspect, field and industry. Adhesive have become the core of many industries. This paper aims to discuss the history, definition, working mechanism of adhesives and also to mention part of the literature available about adhesives like adhesives types, clas...

Citations

... Wafer bonding is a topic of high practical importance and of great scientific interest, and many papers have been published about wafer bonding [26][27][28][29][30][31][32][33][34]. The strength of wafer bonding depends on the nature of the surface roughness which varies depending on the surface preparation method. ...
Article
Full-text available
Bodies made from elastically stiff material usually bind very weakly unless the surfaces are flat and extremely smooth. In direct wafer bonding flat surfaces bind by capillary bridges and by the van der Waals interaction, which act between all solid objects. Here we study the dependency of the work of adhesion on the humidity and surface roughness in hydrophilic direct wafer bonding. We show that the long-wavelength roughness (usually denoted waviness) has a negligible influence on the strength of wafer bonding (the work of adhesion) from the menisci that form from capillary condensation of water vapor. Graphic abstract AFM surface topography for Si(100) wafer
... The process of fabricating integrated circuits involves transforming raw materials or components into a final product. Semiconductor wafer manufacturing, which is at the core of integrated circuit production, is a highly complex process that involves numerous steps and requires advanced equipment and expertise [4]. ...
... It is computed by dividing the total number of correct predictions by the overall number of predictions made [47]. The accuracy is calculated by the following equation: (4) In this context, TP represents true positives, FP represents false positives, TN represents true negatives, and FN represents false negatives. ...
Article
Full-text available
Semiconductor wafer manufacturing is a complex and costly process with inherent defect risks that can significantly impact the industry. Utilizing Deep Learning (DL) for wafer defect classification offers benefits such as improved performance, reduced human error, and time savings. This paper presents an advanced DL-based approach for wafer defect classification, based on a modified GoogLeNet model and data augmentation technique. The approach achieves state-of-the-art results on the WM-300K+ wafer map dataset, demonstrating robustness to image noise and variation. Our research introduces a pioneering approach that outperforms previous methodologies, integrating auto-cast and CUDA to enhance efficiency, addressing dataset imbalance through innovative data augmentation, and creating a new "WM-300K+ wafer map [Single & Mixed]" dataset. The methodology yields exceptional results, with an average classification accuracy of 99.9% for both single and mixed defect types, surpassing previous studies. Hyperparameter tuning with Optuna and a patient stop mechanism further fortifies the robustness and reliability of the approach.
... However, this direct bonding process comes with some challenges. It necessitates surfaces that are meticulously controlled in terms of topology, micro-roughness, curvature, particules and hydrocarbon contamination as well as surface chemistry (3,4). ...
... By considering the ideal gas equation PV=NkBT along with equations [1,3,4] we get the relationship giving γ as a function of the relevant dimensional and mechanical parameters of the sensors at equilibrium For this bonding energy study, we use both isolated sensors and sensor arrays. Figure 8 shows an acoustic characterization of one bonding, providing a wide range of sensor sizes. ...
Article
In this study, we propose a novel method to quantify the interfacial water trapped at the direct bonding interface. The concept is to intentionally create bonding defects with controlled size and shape, and use them as sensors for the gases generated through the oxidation of a material (in our case, Silicon) by water adsorbed on the surfaces prior to bonding. The evolution of the sensor sizes provides valuable insights into the amount of gas they have trapped, allowing us to analyze the imbibition effect. Analyzing sensors arrays also enables us to quantify the amount of water that was initially present at the bonding interface. Moreover, it opens up the possibility of proposing a novel bonding energy measurement method.
... 16,17 A related current research topic is the prediction of surface contacting success based on the surface roughness and shape data. [18][19][20] In the case of elastic solids, the work of adhesion, W adh , is defined as the elastic strain energy, U E , that is required to create an unit area of newly contacted surface, A c . 21 As suggested by Turner and Spearing, this is equivalent to saying that the contact front between two partly contacted wafers will continue advancing and pull the wafers together (such that the contacted area increases) if the criterion W adh ! ...
... dU E =dA c applies. 18 In contrast, adherence is characterized by the work of separation, W sep , which relates to the work required to separate two bonded surfaces. Surface separation (also referred to as delamination) requires an external force, e. g., a tensile stress, where interface opening occurs if the criterion W sep , dU E =dA c holds. ...
Article
An accurate measurement of the bonding energy of an interface is important in many areas of applied research. We present a novel method for measuring the bonding energy, which is based on the principle of non-planar direct bonding, i.e., direct bonding of originally planar wafers onto non-planar substrates. We discuss in detail the advantages and disadvantages compared to the commonly used double cantilever beam method. To demonstrate the practical relevance, by using the example of glass wafers, the evolution of the bonding energy during different de-bonding steps is investigated, focusing on how the surface shape variations and the surface roughness affects water stress corrosion. We find that the bonding energy in the corroded state is not affected by the original surface shape variations and mid-spatial frequency range roughness, anymore. A molecular mechanism to explain this phenomenon is proposed.
... When it comes to bonding front position or bonding area, the effects of bonding propagation velocity, wafer materials and the ambient pressure on the bonding front propagation were investigated (Li et al., 2017). Radisson et al. studied the edge effect on the bonding wave shape and velocity (Turner and Spearing, 2006). The bonded area could be predicted with the wafer, geometry, elastic properties and work of adhesion (Radisson et al., 2015). ...
... Here, prior to the annealing step, no spontaneous delamination has been observed although the convex vacuum chuck exposed the sample pairs to residual stresses. In the following, as originally proposed by proposed by Turner and spearinG [64,65] (based on earlier works by TonG, Gösele and Yu [52,66]) we assume that this observation implies that the initially "weak" adhesion, or more precisely, the surface energy, , which keeps the contacted surfaces adhered together is larger than the change of strain energy, d U E , which would have been released with change of contacted surface area, dA, due to delamination. Thus, is the condition for preventing spontaneous delamination. ...
... As the PV value is much smaller than the sample's thickness, t, it is sufficient to only regard stresses resulting from pure bending [67]. Using classical plate theory, it can be shown that dU E ∕dA = E t 3 2 ∕ [12 (1 − )] , where E and are the material's YounG's modulus and poisson's ratio, respectively, and is the plate's surface curvature [65]. Eventually, by applying the small sagitta approximation, s = L 2 ∕ 8 , we obtain from which, when assuming L = 110 mm, t = 6.35 mm, E = 72 GPa and = 0.18 , we find min = 6.6 mJ m −2 . ...
... Considering Fig. 13 again, note that the reason that smaller bonding strength values occur more frequently in the center area is that in case of slightly convex samples min has its maximum value directly at the point of initial contact, i. e., in the center area, cf. Ref. [65]. ...
Article
Full-text available
Defect free direct bonding of rigid and large area glass samples, such as prisms, becomes increasingly important for the manufacturing of modern optical and optomechanical components. Typically, in order to apply a static load during the annealing step, specialized heat-resistant pressure mountings are required. This makes manufacturing effortful and cost-intensive. In this paper, we present plasma activated bonding experiments conducted on fused silica plates where residual stress has been introduced prior to the contacting step and where annealing is performed with and without a static load. We find that in case of a sufficiently smooth surface, bonding strength is insensitive towards residual stress or static load, or more precisely, towards the interface stress. Furthermore, the residual Fresnel reflection losses of the realized bonding interface were optically measured and they amount to only 10-6\documentclass[12pt]{minimal} \usepackage{amsmath} \usepackage{wasysym} \usepackage{amsfonts} \usepackage{amssymb} \usepackage{amsbsy} \usepackage{mathrsfs} \usepackage{upgreek} \setlength{\oddsidemargin}{-69pt} \begin{document}$$10^{-6}$$\end{document}. We propose that a consideration of the change in Gibbs free energy, dG, allows qualitatively predicting the resulting bonding strength and its spatial distribution, where dG is determined by surface energy and interface stress. At the end of this article, conceivable applications are discussed.
... Turner et al. have performed wafer bonding experiments and numericalanalysis[19][23] and have shown that a fracture mechanics-based formalism (as VCCT method), using a single value work of adhesion, is suitable for modeling the fracture propagation of direct silicon wafer bonding.In previous research studies, it has been shown that a phenomenological trac- ...
Article
Full-text available
Fused silica direct bonding is of particular interest for optical system manufacturing for spatial applications. However, in order to validate the European Space Agency standards, a better understanding of the assemblies mechanical behavior is required. Therefore, it is important to develop some predictive tools to determine numerically mechanical strength of complex assemblies. In this paper, a cohesive zone model is proposed to model the direct bonding interface behavior. In order to determine the mechanical strength of the interface, a propagation test, and an initiation test on a free edge the cleavage test have been performed on direct bonded fused silica samples. The FIT test (Flexible Initiation Test) is also used to identify the properties of the direct bonding joint. At the end, a comparative analyses is proposed between experimental results and finite elements models of the propagation and initiation tests.
... 4,5 The monitoring and control of process induced wafer geometry change (PIWGC) is critical to achieving high device yield in advanced semiconductor manufacturing processes such as lithography, chemical mechanical polishing (CMP) and wafer bonding processes. [3][4][5][6][7][8][9][10][11][12] Conventional wafer supporting methods (3-or 4-point supports) are less suitable for flatness characterization of large diameter wafers due to effects of gravity. 4 Adverse effects of 3-point support methods and anisotropy on shape measurement accuracy was reported in detail. ...
Article
Full-text available
Wafer geometry and residual stress go through significant changes at different points in the semiconductor manufacturing process flow. Precise wafer geometry measurement is very important to assess process induced wafer geometry change (PIWGC) and minimize pattern overlay in lithography steps of advanced node devices and 3-D (3-dimensional) packaged devices. However, the precise wafer geometry measurement is very difficult due to gravitational wafer sag and interaction between the anisotropy of mechanical properties of Si and wafer supporting configurations. Effects of anisotropy and supporting configuration on 300 mm Si (001) wafer profile measurements were investigated for pattern overlay estimation and process optimization.
... Navarro [27] also has an experiment to measure this E a , involving a bonding over an obstacle of known height and the use of a mechanical deformation model to deduce the E a from the deformation profile over the obstacle. In 2006 while studying the bonding front propagation of bowed wafers, Turner [28] reported that E a increases over time, observing a short time fast increase and then a slow increase over a long time period. ...
... Figure I.4: Sketch showing the velocity profile of the air escaping the closing gap between the two deformed wafers, from [17] 2D models: Two 2D models exist in the litterature, the first by Turner [13,28] and the second by Kubair [32,33,34]. Both models are static model which means that they provide only an energy limitation for the bonding or a final state and that they do not take into account the fluid flow between the wafers. ...
... As reported by Turner [28] a time dependence of the adhesion energy can be observed. With our setup the long time observation was easy to make by leaving the sample on the bonding station and taking images at regular time interval over a long time period. ...
Thesis
Full-text available
Direct bonding is a process by which two sufficiently flat and clean surfaces can bond to each other without any added adhesive layer. Direct bonding of patterned surfaces is often used for the fabrication of Micro-Electro-Mechanical Systems (MEMS), where a silicon wafer with cavities is bonded to a plain wafer. The fabrication of these devices is expensive and it would be useful to have guidelines when designing knew devices to know in advance if direct bonding will be possible. A 2D simulation model of the direct bonding of two substrates is developed and used to study the influence of the cavities on the bonding wave velocity. The prediction of the simulation run with Comsol® are in good coherence with the experimental measures and a 2D law of the bonding velocity is obtained. The bonding of perfectly flat wafers with cavities should always be possible. Limitations to the bonding of real wafers are due to the elastic energy cost of deforming the non-perfectly flat wafers. This limit is reached easily when the bonding wave must cross a trench, so a design with a small bonding guide to help cross the cavity will work best. The width of this wave guide should be chosen by considering the bow of the wafer. Indeed the second important design rule is to keep a bonding area big enough to have more adhesion energy than the elastic energy cost due to non-flat wafers deformation. The adhesion energy is an important parameter of the direct bonding, as it is the energy that drives the adhesion. This adhesion energy is different from the more widely known bonding energy which is the energy needed to separate two previously bonded wafers. In this work a simple method to measure the adhesion is proposed. Long time measurement of the evolution of the adhesion energy lead us to propose a mechanism for its evolution linked to the formation of capillary bridges between rough surfaces.
... K. T. Turner et al. used a dedicated set-up in order to measure the work of adhesion [44]. ...
Article
The direct wafer bonding process involves a coupled physical system, formed by the elastic deformation of the wafers and a thin layer of fluid trapped in-between the two wafers. Dynamics of the system during the contacting step has many practical consequences on the quality of the assembled stack. A model for the bonding dynamics is formulated using the thin plate theory and the Reynolds equation. The transient equation is solved numerically, allowing to study both the initiation and the propagation of the bonding. The model is supported by the measurement of the vertical movement of the wafer during the bonding, using an original setup involving optical sensors. Subsequently, an analytical model for the final curvature of the bonded stack is derived, as a function of the different load components acting on the wafers during the bonding, using the thin plate theory and by considering a transverse strain discontinuity locked at the bonding interface. Experimental validation is performing using two different wafer thicknesses. The measured bonded wafer profiles are well described by the model. In addition, a model for the work of adhesion is developed, taking into account both the interface roughness and the amount of adsorbed water. The interface energy controlling the adhesion is found different than for the separation because of the different distribution of water along the interface, in agreement with the experimental observations. At last, a new method to accurately measure the work of adhesion for the entire wafers geometry is proposed, using an elongated bubble intentionally created at the bonding interface and by measuring the induced wafer deflection.