Fig 5 - uploaded by Chi On Chui
Content may be subject to copyright.
(Top left) Core-level Ge(3d) XPS spectra, (top right) core-level N(1s) XPS spectra, and (bottom) relative N atomic concentration from the GeOxNy films as a function of RTN temperature. 

(Top left) Core-level Ge(3d) XPS spectra, (top right) core-level N(1s) XPS spectra, and (bottom) relative N atomic concentration from the GeOxNy films as a function of RTN temperature. 

Source publication
Article
Full-text available
In this paper, atomic layer deposition (ALD) and ultraviolet ozone oxidation (UVO) of zirconium and hafnium oxides are investigated for high-kappa dielectric preparation in Ge MOS devices from the perspectives of thermodynamic stability and electrical characteristics. Prior to performing these deposition processes, various Ge surface preparation sc...

Context in source publication

Context 1
... physical characterization of the GeO x N y interfacial layers was carried out to inspect their N content and chem- ical stability. X-ray photoemission spectroscopy (XPS) was employed to estimate the N atomic concentration in GeO x N y layers grown on CHF-cleaned Ge at various temperatures for 1 min (Fig. 5). Any surface hydrocarbon layer adsorbed during sample transfer in air was also included in the calculation. The relative ratio of N to the sum of N plus carbon (C) and oxygen (O) increases linearly with the RTN temperature from about 10% to 30%. In addition, the oxidized Ge(3d) peak (Ge n+ ) shifted toward lower binding energy at higher RTN temperatures, which suggests a gradual modification of the Ge bonding configuration. The chemical stability of the GeO x N y layer grown at 600 • C was studied by dipping the sample in either a 20:1 HF solution for 1 min or a DI water for 2 min (Fig. 6). After the N incorporation into GeO x , the resultant GeO x N y layer exhibited a drastic reduction in DI water solubility and an enhanced chemical stability, whereas this stabilized GeO x N y film can easily be etched away in HF solution-the standard SiO 2 ...

Similar publications

Article
Full-text available
High-quality HfO2 cannot be grown directly on Si substrate using atomic layer deposition (ALD), and an interfacial oxide layer is needed. Traditionally, interfacial oxide layer is formed either in SC1 solution (2 NH4OH: 4 H2O2: 200 H2O) or by ozonated water spraying. A highly hydrophilic SiO2 interfacial layer was in-situ formed in the ALD chamber...

Citations

... From the integrated circuits (ICs) processing standpoint, Ge FETs have some sort of indispensable challenges. The native GeO 2 is volatile [10], and Ge has low bandgap, leading to high tunneling [11] high contact resistivity on n-Ge [12]. Inversion-mode (IM) MOSFETs exhibit high sensitivity to the interface quality of the gate dielectric, and traverse electric field (EF) affects the carrier mobility. ...
Article
Full-text available
There are vital challenges to harness the unique assets of germanium (Ge) because of Ge-on-insulator (GeOI) processing issues. The advances in molecular beam epitaxy (MBE) technology have enabled the defect-free growth of atomic-level Ge stacks over the standard monolithic silicon platform to leverage the properties of the Ge as a channel layer. Here, we present the first ever report on the authoritative integration of ferroelectric (FE) hafnium zirconium oxide (HZO) over the p-Ge/n-Ge $_{-on-}\text{n}$ -Si system. A rudimentary approach for the carrier modulation in the channel was employed using depletion approximation and negative capacitance (NC) to fabricate HZO and thin p-Ge channel-based FET. The TaN/HZO/TaN stacks were optimized and characterized for enhanced ferroelectricity and non-centrosymmetric orthorhombic phase, which is further confirmed with piezoresponse force microscopic (PFM) analysis. The trivial loop hysteresis conditions to validate the NCFET operation was discussed. The devices demonstrated a lower subthreshold swing (SS) of ~23.44 mV/dec and ${I}_{ \mathrm{\scriptscriptstyle ON}}/{I}_{ \mathrm{OFF}}$ ratio of 10 <sup xmlns:mml="http://www.w3.org/1998/Math/MathML" xmlns:xlink="http://www.w3.org/1999/xlink">5</sup> . The threshold voltage shift ${V}_{t} = -0.6$ and −1.1 V with the body bias voltage of 0.25 and 0.5 V, respectively. Minimum DIBL measured ~26 mV/V, and rule-out gate induces drain lowering (GIDL) effect due to no gate–drain region overlap.
... Therefore, the integration of compatible high-κ gate dielectric on Ge -on-Si platform is alternate to realize the next generation, Ge-FETs. Possible popular candidates as a high-κ dielectric on Ge are ZrO 2, TiO 2, Al 2 O 3, Y 2 O 3 , Er 2 O 3 , and HfO 2 , etc. can be deposited with various deposition techniques reported earlier [15]- [25]. In the midst of potential growth/deposition techniques for ultrathin/thin, high-κ gate dielectrics, atomic layer deposition (ALD) has attracted significant attention due to exceptional interfacial properties, surface morphology, electrical properties, and conformality over a large-area substrate due to the layer-by-layer nature of the deposition [26]. ...
Article
Full-text available
The quest for the high speed, low power digital logic circuits urge an imperative demand of compatible high-κ dielectric integration on novel Germanium (Ge) based channel material. Here, first ever a methodical nanoscopic and microscopic probes were attempted to Atomic Layer Deposited, Hafnium Dioxide (HfO <sub xmlns:mml="http://www.w3.org/1998/Math/MathML" xmlns:xlink="http://www.w3.org/1999/xlink">2</sub> ) dielectrics on Molecular Beam Epitaxy (MBE) of p-Ge <sub xmlns:mml="http://www.w3.org/1998/Math/MathML" xmlns:xlink="http://www.w3.org/1999/xlink">-on-</sub> p-Si stack. Kelvin Probe Force Microscopy based contact potential difference (CPD) analysis reveals that the disintegration of trapped charges lasting for ∼18 hours. The impact of constant voltage stress (CVS) on trapped charges results in variation of threshold voltage (V <sub xmlns:mml="http://www.w3.org/1998/Math/MathML" xmlns:xlink="http://www.w3.org/1999/xlink">th</sub> ) and hysteresis window (W) were studied. The cyclic Capacitance-Voltage (C-V) characteristics at 0.5 MHz exhibit the shift in the flat band (ΔV <sub xmlns:mml="http://www.w3.org/1998/Math/MathML" xmlns:xlink="http://www.w3.org/1999/xlink">fb</sub> ), ΔV <sub xmlns:mml="http://www.w3.org/1998/Math/MathML" xmlns:xlink="http://www.w3.org/1999/xlink">th</sub> , and ΔW at 10V stress were ∼0.84V, ∼0.62V, and ∼0.47V, respectively. While the computed interface trap density (D <sub xmlns:mml="http://www.w3.org/1998/Math/MathML" xmlns:xlink="http://www.w3.org/1999/xlink">it</sub> ) and total effective charge density (Q <sub xmlns:mml="http://www.w3.org/1998/Math/MathML" xmlns:xlink="http://www.w3.org/1999/xlink">eff</sub> ) were ∼8.49 × 10 <sup xmlns:mml="http://www.w3.org/1998/Math/MathML" xmlns:xlink="http://www.w3.org/1999/xlink">12</sup> eV <sup xmlns:mml="http://www.w3.org/1998/Math/MathML" xmlns:xlink="http://www.w3.org/1999/xlink">−1</sup> cm <sup xmlns:mml="http://www.w3.org/1998/Math/MathML" xmlns:xlink="http://www.w3.org/1999/xlink">−2</sup> and ∼1.81 × 10 <sup xmlns:mml="http://www.w3.org/1998/Math/MathML" xmlns:xlink="http://www.w3.org/1999/xlink">12</sup> cm <sup xmlns:mml="http://www.w3.org/1998/Math/MathML" xmlns:xlink="http://www.w3.org/1999/xlink">−2</sup> <sub xmlns:mml="http://www.w3.org/1998/Math/MathML" xmlns:xlink="http://www.w3.org/1999/xlink">,</sub> respectively. The gate leakage current density, (J) at 5V is 26.53 × 10 <sup xmlns:mml="http://www.w3.org/1998/Math/MathML" xmlns:xlink="http://www.w3.org/1999/xlink">−6</sup> A/cm <sup xmlns:mml="http://www.w3.org/1998/Math/MathML" xmlns:xlink="http://www.w3.org/1999/xlink">2</sup> and reduced by a factor of ∼6.8 after 10V, CVS. Whereas the current density (J) increases from ∼26.53 × 10 <sup xmlns:mml="http://www.w3.org/1998/Math/MathML" xmlns:xlink="http://www.w3.org/1999/xlink">−6</sup> A/cm <sup xmlns:mml="http://www.w3.org/1998/Math/MathML" xmlns:xlink="http://www.w3.org/1999/xlink">2</sup> at 25 °C by a factor of ∼2 at 125 °C. To study the retention and effect of charge trapping, the stress-time analysis was performed for 8000s at 3V (CVS). The r.m.s. surface roughness of HfO <sub xmlns:mml="http://www.w3.org/1998/Math/MathML" xmlns:xlink="http://www.w3.org/1999/xlink">2</sub> thin films was found to be ∼0.23 nm. X-ray photoelectron spectroscopy (XPS) depth profiling categorized the elemental composition of thin films. These investigations would help to HfO <sub xmlns:mml="http://www.w3.org/1998/Math/MathML" xmlns:xlink="http://www.w3.org/1999/xlink">2</sub> /p-Ge <sub xmlns:mml="http://www.w3.org/1998/Math/MathML" xmlns:xlink="http://www.w3.org/1999/xlink">-on-</sub> p-Si system interfacial engineering well before the Ge based nano device realization.
... For overcoming the obstacle, Ge-based and III-V compound semiconductor-based MOSFETs (e.g., GaN-HEMTs) with high-k gate dielectric have been extensively studied to further improve the scaled CMOS technology due to their higher electron and/or hole mobilities than those of silicon. [1][2][3][4][5][6] For Ge MOS, unstable and water-soluble GeO x (x < 2) degrades the Ge/gate-dielectric interface quality, resulting in poor electrical properties, [7] which has hampered the development of Ge MOSFET. In order to solve this problem, different surface passivation layers, such as LaTaON, [8] ZrON, [9] NbSiON, [10] etc. [11][12][13][14] have been investigated and exhibited good interface quality in the presence of Ge. ...
... [15,16] However, it has been found that the electrical properties of the device will be degraded due to intermixing between Ge and Hf. [1,2,[7][8][9][10][11][12][13][14] Although the incorporation of nitrogen (N) into HfO 2 could suppress Ge and O diffusion and improve the interfacial property, [17,18] it reduced the valence-and conduction-band offsets. [19] Fortunately, some recent investigations have demonstrated that the Gd-doped HfO 2 can increase the conduction-band offset and transform HfO 2 from the monoclinic phase to the cubic phase, thus diminishing the leakage, improving the interface quality, and also augmenting the k value of dielectric. ...
Article
Full-text available
High-quality dielectric/Ge interface and low gate leakage current are crucial issues for high-performance nanoscaled Ge-based complementary metal–oxide–semiconductor (CMOS) device. In this paper, the interfacial and electrical properties of high- k HfGdON/LaTaON stacked gate dielectric Ge metal–oxide–semiconductor (MOS) capacitors with different gadolinium (Gd) contents are investigated. Experimental results show that when the controlling Gd content is a suitable value ( e.g. , ∼ 13.16%), excellent device performances can be achieved: low interface-state density (6.93 × 10 ¹¹ cm ⁻² · eV ⁻¹ ), small flatband voltage (0.25 V), good capacitance–voltage behavior, small frequency dispersion, and low gate leakage current (2.29× 10 ⁻⁶ A/cm ² at V g = V fb + 1 V). These could be attributed to the repair of oxygen vacancies, the increase of conduction band offset, and the suppression of germanate and suboxide GeO x at/near the high k /Ge interface by doping suitable Gd into HfON.
... This is possibly due to the densification of the total gate stack (ZrO 2 /AlO x ) and the presence of a thick interfacial layer due to SPAO treatment throughout the stack. 34,35 The quality of the oxide in three cases is investigated by the voltage ramp method; TZDB. Figure 4(d) shows the cumulative failure distribution. It is clearly visible that when SPAO is performed before Al 2 O 3 /ZrO 2 (CASE-3), all the devices breakdown in a considerably lower voltage range (2.6-4.5 V) in comparison to the other two cases (4-6.5 V). ...
Article
In this work, the authors report the application and influence of slot plane antenna plasma oxidation (SPAO) on the quality of Ge/high-k based metal–oxide–semiconductor capacitors. The effect of SPAO exposure on the Ge/high-k interface during atomic layer deposition of the dielectric along with the reliability characteristics has been studied. A significant improvement in the electrical properties has been observed when the high-k stacks are exposed to SPAO treatment. The devices treated with SPAO after Al2O3/ZrO2 deposition (CASE-1) show slightly better equivalent oxide thickness, low leakage current density, and marginally better breakdown characteristics compared to the devices treated with SPAO in-between Al2O3/ZrO2 deposition (CASE-2). This can be attributed to the densification of the gate stack as the plasma exposed to the total stack and the formation of the thick interfacial layer as evident from the X-ray photoelectron spectroscopy (XPS) measurements. A stable and thin interfacial layer formation was observed from XPS data in the samples treated with SPAO in-between high-k stack deposition compared to the samples treated with SPAO after high-k stack deposition. This leads to the low interface state density, low hysteresis, comparable dielectric breakdown, and reliable characteristics in CASE-2 compared to CASE-1. On the other hand, XPS data revealed that the interface is deteriorated in the samples treated with SPAO before high-k stack deposition (CASE-3) and leads to poor electrical properties.
... Previously published works revealed that defects near the Ge/high-k oxide interface were resistant to hydrogen passivation and thus the calculated D it values of the Ge CMOS structures were found to be almost unaffected by the forming gas annealing treatment. [49][50][51][52] Calculated D it values, evaluated through both methods, i.e., conductance and Hill-Coleman methods, for all tested structures are presented in Table I. ...
Article
The influence of deposition temperature on the structural, chemical, and electrical properties of atomic layer deposition (ALD)-Al2O3 thin films is investigated. ALD-Al2O3 films were deposited on p-type Ge substrates at 80, 150, 200, 250, and 300 °C. The atomic force microscopy analysis reveals smooth and cohesive films with extremely low roughness (0.2–0.6) nm at 150, 200, 250, and 300 °C. On the contrary, Al2O3 films deposited at the lowest available deposition temperature (80 °C) exhibit holes and aggregates implying a nonhomogeneous deposition. The x-ray photoelectron spectroscopy (XPS) analysis indicates the presence of stoichiometric Al2O3 films at all deposition temperatures. The calculated thickness from the analysis of XPS spectra seems to be in good agreement with the ALD nominal thickness for the films deposited at all deposition temperatures except the one of 80 °C. Transmission electron microscopy (TEM) analysis reveals a flat interface between Al2O3 and p-Ge in an atomic level. In addition, TEM and XPS analyses indicate the absence of any oxidized interlayer between p-Ge and Al2O3 films. Furthermore, C-V, G-V, C-f, G-f, and J-V measurements were performed in order to study the electrical properties and evaluate the density of interfacial traps (Dit) of the structures prior and following forming gas annealing procedure. Forming gas annealing clearly improves the electrical response of all tested structures, as expected, by reducing significantly the “streching out” effect and the frequency dispersion at the depletion regime. Leakage currents and Dit in the order of 10−4 A/cm² (for applied voltage 1 V) and 10¹¹ eV−1 cm⁻², respectively, were measured-calculated for all tested structures.
... The effect of a H 2 anneal on the Ge(001) surface has also been studied. It could be shown that an anneal under a hydrogen environment can further decrease the surface roughness of epitaxially grown Ge on Si. 117 Ge layers on Si with a subsequent annealing step reduces the Ge surface roughness by 90%. 117,121 Similar results were reported by Yu et al., where a multistep lateral overgrowth with hydrogen annealing (MLHA) reduced the mean roughness of epitaxially grown Ge on Si from 3.5 nm for untreated samples down to 0.7 nm for hydrogen-annealed surfaces. ...
... It could be shown that an anneal under a hydrogen environment can further decrease the surface roughness of epitaxially grown Ge on Si. 117 Ge layers on Si with a subsequent annealing step reduces the Ge surface roughness by 90%. 117,121 Similar results were reported by Yu et al., where a multistep lateral overgrowth with hydrogen annealing (MLHA) reduced the mean roughness of epitaxially grown Ge on Si from 3.5 nm for untreated samples down to 0.7 nm for hydrogen-annealed surfaces. 120 For bulk Ge(001) wafers, Nishimura et al. showed that atomically smooth terraces can be achieved when the Ge wafer is annealed for 15 min at temperatures higher than 700 C under a hydrogen environment ex situ. ...
... To our knowledge, no D it values are reported for Ge transistor devices with a UV/O 2 plasma-exposed or ion sputtered Ge surface prior to further device processing, which is why a comparison of the D it values between the different cleaning methods is not possible. However, a wide range of D it values between 8 Â 10 10 cm À2 eV À1 and $10 12 cm À2 eV À1 for HF and diluted HF-treated Ge surfaces are reported, 46,117,[167][168][169][170] demonstrating that D it is not only a function of the surface preparation but is also heavily influenced by the interface quality between the high-j material and Ge surface. ...
Article
Full-text available
In recent years, research on Ge nanodevices has experienced a renaissance, as Ge is being considered a possible high mobility channel material replacement for Si MOSFET devices. However, for reliable high performance devices, an atomically flat and perfectly clean Gesurface is of utmost importance. In this review, the existing methods for cleaning the Ge(001) surface are reviewed and compared for the first time. The review discusses three broad categories of cleaning techniques that have been successfully demonstrated to obtain a clean Gesurface. First, the use of ultraviolet light and/or oxygen plasma is discussed. Both techniques remove carbon contamination from the Gesurface and simultaneously form an oxide passivation layer. Second, in situ ion sputtering in combination with germanium regrowth, which can lead to extremely clean and well-ordered Gesurfaces, is discussed. Finally, various wet-etching recipes are summarized, with focus on hydrofluoric acid (HF), NH4OH, and HCl. Despite the success of HF for Si surface preparation, it is demonstrated that in the case of Ge, HF is outperformed by other chemicals with respect to surface roughness,carbon and oxide removal efficiency. It is shown that several cleaning methods can lead to a perfectly clean Gesurface, but only a few methods can be considered for actual device integration due to their effectiveness, simplicity, and scaling ability.
... Preliminary results on forming gas annealing of ultrathin Al 2 O 3 /p-Ge structures reveal that the D it values are almost unaffected by the influence of the thermal budget (PhD). On the other hand, previously published results [43][44][45][46] regarding HfO 2 /p-Ge MOS structures revealed that defects near the Ge/ high-k oxide interface were resistant to hydrogen passivation and thus the calculated D it values of the Ge CMOS structures were found to be almost unaffected by the forming gas annealing treatment [43][44][45][46]. Figure 13 depicts the J-V characteristics for p-Ge/0.5 nm Al 2 O 3 /2 nm HfO 2 /Au structures both in accumulation and inversion regimes at RT. ...
... Preliminary results on forming gas annealing of ultrathin Al 2 O 3 /p-Ge structures reveal that the D it values are almost unaffected by the influence of the thermal budget (PhD). On the other hand, previously published results [43][44][45][46] regarding HfO 2 /p-Ge MOS structures revealed that defects near the Ge/ high-k oxide interface were resistant to hydrogen passivation and thus the calculated D it values of the Ge CMOS structures were found to be almost unaffected by the forming gas annealing treatment [43][44][45][46]. Figure 13 depicts the J-V characteristics for p-Ge/0.5 nm Al 2 O 3 /2 nm HfO 2 /Au structures both in accumulation and inversion regimes at RT. It is found that the current density through the structures is comparable, if not lower, with previously published results concerning similar structures [2,6,18,19]. ...
Article
Full-text available
It is well known that the most critical issue in Ge CMOS technology is the successful growth of high-k gate dielectrics on Ge substrates. The high interface quality of Ge/high-k dielectric is connected with advanced electrical responses of Ge based MOS devices. Following this trend, atomic layer deposition deposited ultrathin Al2O3 and HfO2 films were grown on p-Ge. Al2O3 acts as a passivation layer between p-Ge and high-k HfO2 films. An extensive set of p-Ge/Al2O3/HfO2 structures were fabricated with Al2O3 thickness ranging from 0.5 nm to 1.5 nm and HfO2 thickness varying from 2.0 nm to 3.0 nm. All structures were characterized by x-ray photoelectron spectroscopy (XPS) and AFM. XPS analysis revealed the stoichiometric growth of both films in the absence of Ge sub-oxides between p-Ge and Al2O3 films. AFM analysis revealed the growth of smooth and cohesive films, which exhibited minimal roughness (∼0.2 nm) comparable to that of clean bare p-Ge surfaces. The electrical response of all structures was analyzed by C-V, G-V, C-f, G-f and J-V characteristics, from 80 K to 300 K. It is found that the incorporation of ultrathin Al2O3 passivation layers between p-Ge and HfO2 films leads to superior electrical responses of the structures. All structures exhibit well defined C-V curves with parasitic effects, gradually diminishing and becoming absent below 170 K. D it values were calculated at each temperature, using both Hill-Coleman and Conductance methods. Structures of p-Ge/0.5 nm Al2O3/2.0 nm HfO2/Au, with an equivalent oxide thickness (EOT) equal to 1.3 nm, exhibit D it values as low as ∼7.4 10¹⁰ eV⁻¹ cm⁻². To our knowledge, these values are among the lowest reported. J-V measurements reveal leakage currents in the order of 10⁻¹ A cm⁻², which are comparable to previously published results for structures with the same EOT. A complete mapping of the energy distribution of D its into the energy bandgap of p-Ge, from the valence band towards midgap, is also reported. These promising results contribute to the challenge of switching to high-k dielectrics as gate materials for future high-performance metal-oxide-semiconductor field-effect transistors based on Ge substrates. Making the switch to such devices would allow us toexploit its superior properties.
... [14][15][16][17] In the present study, we hence concentrate on tailoring the dielectric permittivity of ZrO 2 films. In a previous study, we had documented the effect of sputtering parameters, especially growth rate, on texture and stress selection in ZrO 2 films on Si. 4 There have been relatively very few reports on sputtered films on Ge. [18][19][20][21][22] The correct choice leads to sub-1 nm equivalent oxide thickness (EOT) with acceptable leakage currents. 23,24 EXPERIMENTAL DETAILS Low resistivity n-Ge h100i was used as the substrate for ZrO 2 film deposition. ...
Article
Stress is inevitable during thin film growth. It is demonstrated here that the growth stress has a significant effect on the dielectric constant of high-k thin films. ZrO2 thin films were deposited on Ge by reactive direct current sputtering. Stress in these films was measured using in-situ curvature measurement tool. The growth stress was tuned from -2.8 to 0.1 GPa by controlling deposition rate. Dielectric permittivity of ZrO2 depends on temperature, phase, and stress. The correct combination of parameters—phase, texture, and stress—is shown to yield films with an equivalent oxide thickness of 8 A. Growth stresses are shown to affect the dielectric constant both directly by affecting lattice parameter and indirectly through the effect on phase stability of ZrO2.
... [1][2][3] Also, a high-k/Ge structure is needed for enhancing the device performances of Ge MOSFETs with a low equivalent oxide thickness (EOT). 4,5) However, in previous reports, an interface quality such as the interface state density (D it ) at the high-k/Ge interface is quite poor (D it ³ 10 12 eV ¹1 cm ¹2 ), [6][7][8][9][10] suggesting difficulty with performance enhancement using the high-k/Ge structure. On the other hand, the GeO 2 /Ge structure has a good interface property (D it ³ 10 10 eV ¹1 cm ¹2 ) obtained by thermal oxidation [11][12][13] or plasma oxidation, 14) indicating that insertion of a GeO 2 film into the high-k/Ge interface could improve interface properties. ...
Article
We have examined the formation of a thin GeO2 layer on a Ge substrate by pulsed metal organic chemical vapor deposition (MOCVD) using tetraethoxygermanium (TEOG) and H2O to precisely control GeO2 layer thickness. Also, we have investigated the feasibility of the use of a thin GeO2 layer formed by pulsed MOCVD at the Al2O3/Ge interface. Pulsed MOCVD enables thin GeO2 layer formation with thickness control by the self-limited adsorption of TEOG. For the growth of a thick GeO2 layer, it is a key to allow TEOG and H2O molecules to sufficiently react. Furthermore, we found that the MOCVD-GeO2 layer has a high etching tolerance to Al2O3 deposition and can reduce the interface state density of the Al2O3/Ge structure. Therefore, GeO2 formation by pulsed MOCVD using TEOG and H2O is a candidate method for realizing high-quality high-k/Ge gate stacks.
... The EOT cost of including an IL has motivated many experiments to find alternate passivation methods, usually wet chemical approaches that do not involve a physical layer of lower k material in the gate stack. These methods have generally been unsuccessful due to high D it [94,95]. One of the primary goals of Ge gate stack research today is to simultaneously achieve low EOT, high channel mobility, and low leakage current. ...
Article
Full-text available
The performance of strained silicon (Si) as the channel material for today's metal-oxide-semiconductor field-effect transistors may be reaching a plateau. New channel materials with high carrier mobility are being investigated as alternatives and have the potential to unlock an era of ultra-low-power and high-speed microelectronic devices. Chief among these new materials is germanium (Ge). This work reviews the two major remaining challenges that Ge based devices must overcome if they are to replace Si as the channel material, namely, heterogeneous integration of Ge on Si substrates, and developing a suitable gate stack. Next, Ge is compared to compound III-V materials in terms of p-channel device performance to review how it became the first choice for PMOS devices. Different Ge device architectures, including surface channel and quantum well configurations, are reviewed. Finally, state-of-the-art Ge device results and future prospects are also discussed.