Fig 4 - uploaded by Hashem Haghbayan
Content may be subject to copyright.
Sensor behavior under different temperatures (Ambient temperature rises when cores generate heat) 

Sensor behavior under different temperatures (Ambient temperature rises when cores generate heat) 

Contexts in source publication

Context 1
... Figure 4 shows the change of sensor’s counter value ...
Context 2
... the temperature [17]. As can be seen, the change of sensor’s counter value is linear with the temperature change with a negative slope. As discussed before, for the same temperature, the values for thermal sensors are not the same as each other and a separate calibration is needed. SCC-platform has an off-chip ambient thermal sensor that shows temperature in Celsius scale. This ambient thermal sensor can be used as a reference for the temperature readings. III. C ALIBRATING THE T HERMAL S ENSORS ON SCC When cores are running at the lowest frequency and are completely idle, the ambient temperature reaches its coldest point and then can be assumed that all the cores will share this temperature [17]. We address this temperature value as Cold ref and its corresponding counter value as CV cold . When the cores are stressed, they get hotter and so does the ambient temperature. When the ambient temperature reaches its maximum, it can be used as a reference point for the maximum core temperature. We address this maximum temperature as Hot ref and its corresponding counter value as CV hot . Therefore, the slope of the graph shown in Figure 4 (i.e., s ) can be calculated as ...

Citations

... However, due to process variation, the ion sensors are expected to be subject to large device-to-device differences, which can be even more significant as the scaling of device's dimensions becomes aggressive. When incorporating a discrete sensor into a smart system, these inherent offsets are often addressed by calibration schemes in application software [27]. On the other hand, if one wishes to construct a sensing array (see Figure 9a) for the detection of pH level distribution, the in-cell selfcalibration scheme becomes important to high fixed pattern noises, disturbing sensor readings. ...
... However, due to process variation, the ion sensors are expected to be subject to large device-to-device differences, which can be even more significant as the scaling of device's dimensions becomes aggressive. When incorporating a discrete sensor into a smart system, these inherent offsets are often addressed by calibration schemes in application software [27]. On the other hand, if one wishes to construct a sensing array (see Figure 9a) for the detection of pH level distribution, the in-cell self-calibration scheme becomes important to high fixed pattern noises, disturbing sensor readings. ...
Article
Full-text available
In this work, we present a novel pH sensor using efficient laterally coupled structure enabled by Complementary Metal-Oxide Semiconductor (CMOS) Fin Field-Effect Transistor (FinFET) processes. This new sensor features adjustable sensitivity, wide sensing range, multi-pad sensing capability and compatibility to advanced CMOS technologies. With a self-balanced readout scheme and proposed corresponding circuit, the proposed sensor is found to be easily embedded into integrated circuits (ICs) and expanded into sensors array. To ensure the robustness of this new device, the transient response and noise analysis are performed. In addition, an embedded calibration operation scheme is implemented to prevent the proposed sensing device from the background offset from process variation, providing reliable and stable sensing results.
... Therefore, reliable and effective thermal monitoring mechanisms are crucial to overcome this challenge. Dynamic thermal management (DTM) is often employed to continuously track the thermal behavior of processors during runtime [2]. Typically, on-die thermal sensors are widely deployed in modern multi-core processors to assist DTM [3]. ...
Article
Full-text available
Dynamic thermal management (DTM) mechanisms utilize embedded thermal sensors to collect fine-grained temperature information for monitoring the real-time thermal behavior of multi-core processors. However, embedded thermal sensors are very susceptible to a variety of sources of noise, including environmental uncertainty and process variation. This causes the discrepancies between actual temperatures and those observed by on-chip thermal sensors, which seriously affect the efficiency of DTM. In this paper, a smoothing filter-based Kalman prediction technique is proposed to accurately estimate the temperatures from noisy sensor readings. For the multi-sensor estimation scenario, the spatial correlations among different sensor locations are exploited. On this basis, a multi-sensor synergistic calibration algorithm (known as MSSCA) is proposed to improve the simultaneous prediction accuracy of multiple sensors. Moreover, an infrared imaging-based temperature measurement technique is also proposed to capture the thermal traces of an advanced micro devices (AMD) quad-core processor in real time. The acquired real temperature data are used to evaluate our prediction performance. Simulation shows that the proposed synergistic calibration scheme can reduce the root-mean-square error (RMSE) by 1.2 ∘ C and increase the signal-to-noise ratio (SNR) by 15.8 dB (with a very small average runtime overhead) compared with assuming the thermal sensor readings to be ideal. Additionally, the average false alarm rate (FAR) of the corrected sensor temperature readings can be reduced by 28.6%. These results clearly demonstrate that if our approach is used to perform temperature estimation, the response mechanisms of DTM can be triggered to adjust the voltages, frequencies, and cooling fan speeds at more appropriate times.
... Even though according to Equation 1, static power P static does not depend on the frequency f directly, in fact it indirectly does. A core needs a certain minimum supply voltage for a given frequency [21], [22]. For instance, Intel Single-Chip Cloud computer (SCC) [21], which offers 16 frequency levels to regulate the speed of a frequency Island, requires 0.8V to supply a core running at 533MHz, while for a core running at 800MHz a minimum of 1.1V is required. ...
Article
Modern microprocessors utilise embedded thermal sensors to continuously monitor the chip's temperature during runtime. However, the overheating locations change temporally and spatially depending on the various workloads running on the chip. Furthermore, on-chip thermal sensor readings are highly affected by noise due to fabrication fluctuations and randomness, which makes the task of thermal monitoring particularly challenging. In this study, the authors first establish overheating detection models to address the thermal sensor allocation problem under two different conditions when the on-chip thermal sensor observations are corrupted by noise. On this basis, a heuristic method based on genetic algorithm is proposed to find a near-optimal thermal sensor allocation solution, which can make overheating detection probability significantly improved with a greatly reduced execution time. They also propose a hybrid algorithm to identify the optimal thermal sensor placement for each individual chip block or component. Moreover, they develop an oil-based cooling system and utilise infrared thermal imaging techniques to capture the thermal traces of a real dual-core microprocessor when running various workloads. The experiments demonstrate that the authors' proposed thermal sensor allocation methods obviously outperform several common allocation approaches in terms of overheating detection, which can provide an accurate and reliable thermal monitoring.
Article
Using embedded thermal sensors, high-performance microprocessors employ dynamic thermal management techniques to measure runtime thermal behaviour so as to prevent thermal runaway situations. However, on-chip thermal sensors are highly susceptible to noise, which results in a higher probability of false alarms and unnecessary responses. In this study, the authors propose a set of methods based on principal component analysis (PCA) to address the problem of recovering precisely the full thermal map from the on-chip thermal sensors when the sensor readings have been corrupted by noise. The authors utilise simulated annealing algorithm to devise method that determines the optimal thermal sensor locations, which can obtain superior results compared with the available literature. On this basis, the authors also propose a practical method for full thermal reconstruction to estimate the accurate temperatures of full chip, which would not need to know a-priori temperature information at each spatial distribution of thermal map. The experimental results confirm that the authors' proposed methods are stable in the case of noisy thermal sensor observations, which can achieve a high fidelity thermal monitoring.