Fig 1 - uploaded by Calogero Pace
Content may be subject to copyright.
Schematics of the low-noise electronic circuit used for the evaluation of the gate-current noise. II. EXPERIMENTAL SETUP The devices used in this study are nMOSFETs with poly-Si gate, with gate lengths L ranging from 0.18 to 0.25 µm and with the same gate width W of 10 µm. Three different gate stacks have been considered: a 2-nm SiO 2 layer, a double layer constituted by a 4.5-nm HfO 2 film on the top of a 1-nm SiO 2 interfacial layer with an EOT of 1.7 nm, and a double layer constituted by a 2-nm Hf x Si 1−x ON (x = 0.23) film on the top of a 1-nm SiON interfacial layer with an EOT of 1.6 nm (see Table I). Hereinafter, for the sake of brevity, we will refer to the three gate stacks as SiO 2 , HfO 2 , and HfSiON, respectively. A detailed dc analysis has been performed by means of the parameter analyzer Keithley 4200-SCS to evaluate gate leakage current, transconductance g m , and threshold voltage V T . HfO 2 samples showed a V T instability at room temperature of about 100 mV, while in all the other samples, the V T instability was less than 1 mV. The dc analysis was followed by on-wafer noise measurements performed using a purposely designed low-noise measurement system. The core of the system is the low-noise section, which has been enclosed in a metal box placed close to the contacting probes and is battery operated. Two different circuits have been used for the evaluation of the gate-current noise (see Fig. 1) and of the drain-current noise (see Fig. 2). In the case of the gate-current-noise measurement, we have chosen a transimpedance amplifier, due to the high input impedance associated with the gate dielectrics. In the case of the drain-current noise measurement, we resort to a voltage noise amplifier due to the low input impedance associated with the MOSFET channel (a few hundreds ohms). Indeed, the realization of transimpedance amplifiers with an acceptably low noise level and sufficient gain is difficult, due to the problem of the operational amplifier saturation caused by the low device under test (DUT) impedance, which can change significantly with the bias point and with the MOSFET geometry. On the  

Schematics of the low-noise electronic circuit used for the evaluation of the gate-current noise. II. EXPERIMENTAL SETUP The devices used in this study are nMOSFETs with poly-Si gate, with gate lengths L ranging from 0.18 to 0.25 µm and with the same gate width W of 10 µm. Three different gate stacks have been considered: a 2-nm SiO 2 layer, a double layer constituted by a 4.5-nm HfO 2 film on the top of a 1-nm SiO 2 interfacial layer with an EOT of 1.7 nm, and a double layer constituted by a 2-nm Hf x Si 1−x ON (x = 0.23) film on the top of a 1-nm SiON interfacial layer with an EOT of 1.6 nm (see Table I). Hereinafter, for the sake of brevity, we will refer to the three gate stacks as SiO 2 , HfO 2 , and HfSiON, respectively. A detailed dc analysis has been performed by means of the parameter analyzer Keithley 4200-SCS to evaluate gate leakage current, transconductance g m , and threshold voltage V T . HfO 2 samples showed a V T instability at room temperature of about 100 mV, while in all the other samples, the V T instability was less than 1 mV. The dc analysis was followed by on-wafer noise measurements performed using a purposely designed low-noise measurement system. The core of the system is the low-noise section, which has been enclosed in a metal box placed close to the contacting probes and is battery operated. Two different circuits have been used for the evaluation of the gate-current noise (see Fig. 1) and of the drain-current noise (see Fig. 2). In the case of the gate-current-noise measurement, we have chosen a transimpedance amplifier, due to the high input impedance associated with the gate dielectrics. In the case of the drain-current noise measurement, we resort to a voltage noise amplifier due to the low input impedance associated with the MOSFET channel (a few hundreds ohms). Indeed, the realization of transimpedance amplifiers with an acceptably low noise level and sufficient gain is difficult, due to the problem of the operational amplifier saturation caused by the low device under test (DUT) impedance, which can change significantly with the bias point and with the MOSFET geometry. On the  

Source publication
Article
Full-text available
In this paper, complementary measurements of the drain and the gate low-frequency noise are used as a powerful probe for sensing the hafnium-related defects in nMOSFETs with high-k gate stacks and polysilicon gate electrode. Drain noise measurements indicate that for low hafnium content (23%) and thin high-k thickness (2nm), the defect density at t...

Similar publications

Article
Full-text available
We have studied the bias-temperature instability of three-dimensional self-aligned metal-gate/high-κ/Germanium-on-insulator (GOI) CMOSFETs, which were integrated on underlying 0.18 μm CMOSFETs. The devices used IrO<sub>2</sub>--IrO<sub>2</sub>-Hf dual gates and a high-κ LaAlO<sub>3</sub> gate dielectric, and gave an equivalent-oxide thickness (EOT)...

Citations

... such as C-V and G-V impedance spectroscopy, are not feasible [7]- [14]. Therefore, accurate models should describe this noise source in a sound physically based manner, to guide technology improvement, to help the interpretation of experimental data, and to describe correctly TDN during circuit design in advanced CMOS technologies. ...
... The same considerations apply to the gate stack with IL of Fig. 10(b), further stressing the general importance of these z-dependent terms in the evaluation of the drain current noise PSD. The approximate solution of (23) for a uniform trap distribution and a single-electron barrier commonly adopted to extract trap densities [4], [8], [12]- [14] (where α t = (2/h)(2m t B ) 1/2 , m t is the tunneling mass, and B is the semiconductor/insulator barrier) underestimates N bt by as much as two orders of magnitude (Fig. 11). The error increases remarkably with decreasing dielectric thickness, further stressing the importance of the (1 − z/t ox ) term in thin gate dielectrics. ...
Article
We derive a complete set of expressions for the MOSFET gate and drain power spectral densities due to elastic and inelastic trapping/detrapping of channel carriers into the gate dielectric. Our calculations explain trapping/detrapping noise (TDN) in various FET operating regions and highlight trap's position-dependent terms, often neglected in the literature, which are instead important for devices with thin gate dielectrics. Furthermore, we show that TDN has a contribution to the gate current noise, correlated with the drain current fluctuations and we highlight the role of the transfer function between channel charge fluctuations and drain current on the noise characteristics. The model expressions are carefully validated by comparison with 2-D and 3-D TCAD simulations of scaled MOSFETs with different architectures (bulk, fully depleted-silicon-on-insulator (FD-SOI), FinFET), channel, and gate materials. Besides shedding new light on TDN, the results could enable trap density extraction from experimental samples with improved accuracy and pave the way to complete and accurate compact models for TDN in MOSFETs.
... This solution has a limitation, which is caused by the need to maintain the battery. A simple circuit-based solution is known [2][3][4][5][6][7][8], where the authors use a capacitor to store a previously programmed voltage level. An analysis of the situation for hardware components in general allows us to conclude that there is a balance between long-term stability and output noise level for most known types of op amps. ...
Article
Full-text available
The paper presents a circuit design of a low-noise voltage reference based on an electric double-layer capacitor, a microcontroller and a general purpose DAC. A large capacitance value (1F and more) makes it possible to create low-pass filter with a large time constant, effectively reducing low-frequency noise beyond its bandwidth. Choosing the optimum value of the resistor in the RC filter, one can achieve the best ratio between the transient time, the deviation of the output voltage from the set point and the minimum noise cut-off frequency. As experiments have shown, the spectral density of the voltage at a frequency of 1 kHz does not exceed 1.2 nV/√Hz; the maximum deviation of the output voltage from the predetermined does not exceed 1.4 % and depends on the holding time of the previous value. Subsequently, this error is reduced to a constant value and can be compensated.
... Typically, transistors with high-K dielectrics have higher defect densities and correspondingly higher noise magnitudes than devices with gate dielectrics. Of most practical current interest is the noise of transistors with gate stacks that incorporate dielectric layers [174]- [176], which inevitably include a thin interfacial layer (typically a few monolayers) of . While the microstructures of the specific defects that lead to the noise in transistors with high-K gate stacks are not known in detail, a wide variety of defects have been identified in , with O vacancies once again being among the most significant [177]- [181]. ...
Article
This paper reviews and compares predictions of the Dutta-Horn model of low-frequency excess (1/ f) noise with experimental results for thin metal films, MOS transistors, and GaN/AlGaN high-electron mobility transistors (HEMTs). For metal films, mobility fluctuations associated with carrier-defect scattering lead to 1/f noise. In contrast, for most semiconductor devices, the noise usually results from fluctuations in the number of carriers due to charge exchange between the channel and defects, usually at or near a critical semiconductor/insulator interface. The Dutta-Horn model describes the noise with high precision in most cases. Insight into the physical mechanisms that lead to noise in microelectronic materials and devices has been obtained via total-ionizing-dose irradiation and/or thermal annealing, as illustrated with several examples. With the assistance of the Dutta-Horn model, measurements of the noise magnitude and temperature and/or voltage dependence of the noise enable estimates of the energy distributions of defects that lead to 1/f noise. The microstructure of several defects and/or impurities that cause noise in MOS devices (primarily O vacancies) and GaN/AlGaN HEMTs (e.g., hydrogenated impurity centers, N vacancies, and/or Fe centers) have been identified via experiments and density functional theory calculations.
... Certainly in the latter case, one should account for the difference in the tunneling parameter in the IL and the high-κ layer. Therefore, for the p-channel transistors and in the elastic tunneling limit, the tunneling depth in the high-κ layer can be calculated from [34][35][36]: ...
Article
Low-frequency noise has been used to study the impact of an Al2O3 work-function-engineering cap layer on the gate oxide quality of SiO2/HfO2 DRAM peripheral n- and pMOSFETs. It is shown that from the 1/f-like spectra a border trap density profile can be extracted, which depends on whether the cap is deposited below or above the HfO2 layer. In general, the presence of Al in the high-κ material leads to a higher trap density, with a maximum concentration close to or at the SiO2/HfO2 interface, where a dipole layer is expected to form. When removing the cap layer from the SiO2 interfacial layer, excess generation-recombination-like noise is introduced for the n-channel devices, indicating the presence of dry-etching damage at the SiO2/HfO2 interface.
... Each trap causes a RTS noise in the gate current with amplitude t=aJ G average time in the low state (filled trap)  off and average time in the high state (empty trap)  on . Thus the power spectral density (PSD) is given by [4] ...
... The PSD given by all the traps, assumed uncorrelated, can be obtained by the superimposition [4] ...
... There are weak currents induced by tunneling, so there is a little gate current noise power spectral density with 10 22 A 2 /Hz. As explained earlier, a value of →2 implies the role of percolative traps located far away from the Si-SiO 2 interface in the bulk HK while others having many PITs show the 1/f trend, as inFigure 4. It can be explained by Crupi's tunneling current noise model, noise exponent  changes in a range of values 1–1.4 [4]. The larger the PIT density, the closer the value of  to 1. Therefore, the proximity of  to either 1 or 2 is an indicator of a high or low intrinsic trap concentration in the HK, respectively. ...
Article
Full-text available
Based on the elastic trap-assisted tunneling mechanism in high-κ gate stacks, a quantum percolation tunneling current 1/f γ noise model is proposed by incorporating quantum tunneling theory into the quantum percolation model. We conclude that the noise amplitude of the PSD (Power Spectral Density) for three stages, namely the fresh device, one-layer BD (breakdown), and two-layer BD, increases from 10−22→10−14→10−8 A2/Hz. Meanwhile, the noise exponent γ for the three stages, has the 1/f 2 type (γ→2), 1/f γ type(γ→1∼2), and 1/f type (γ→1), respectively. The simulation results are in good agreement with the experimental results. This model reasonably interprets the correlation between the bi-layer breakdown and the tunneling 1/f γ noise amplitude dependence and 1/f γ noise exponent dependence. These results provide a theoretical basis for the high-κ gate stacks bi-layer breakdown noise characterization methods.
... According to the established LFN theory, a slope of À2 here indicates that the main source of LFN can be attributed to carrier number fluctuation, which is caused by tunneling of free-charge carriers into the oxide traps at the channel/gate dielectric interface region. 13,14 Moreover, it has been suggested that if carrier number fluctuation is the dominant LFN source, the average interfacial trap density within the gate oxide can be extracted as 15 ...
Article
Full-text available
Amorphous indium-gallium-zinc oxide (a-IGZO) thin film transistors (TFTs) having an ultra-thin nitrogenated a-IGZO (a-IGZO:N) layer sandwiched at the channel/gate dielectric interface are fabricated. It is found that the device shows enhanced bias stress stability with significantly reduced threshold voltage drift under positive gate bias stress. Based on x-ray photoelectron spectroscopy measurement, the concentration of oxygen vacancies within the a-IGZO:N layer is suppressed due to the formation of N-Ga bonds. Meanwhile, low frequency noise analysis indicates that the average trap density near the channel/dielectric interface continuously drops as the nitrogen content within the a-IGZO:N layer increases. The improved interface quality upon nitrogen doping agrees with the enhanced bias stress stability of the a-IGZO TFTs.
... Moreover, the 1=f noise has been utilized as a viable characterization tool to evaluate the quality of gate stacks. 5,[16][17][18][19][20][21][22][23][24] In order to further investigate trap properties, the random telegraph noise (RTN) analysis is also utilized. Furthermore, the RTN analysis in small-area devices becomes much more important, owing to the capture and emission of a single carrier at a gate dielectric trap. ...
Article
In this research, trap properties in n-channel metal-oxide-semiconductor field-effect transistors (MOSFETs) with different annealing sequences have been studied on the basis of low-frequency (1/f) noise and random telegraph noise (RTN) analyses. The 1/f noise results indicate that the source of the drain current fluctuation is electron trapping. The higher trap density in the devices annealed before the TaN layer causes serious noise and lower trap energy in RTN results. The substitution mechanism explains that the increment of defects is due to the additional nitrogen atoms in HfO2. On the contrary, fewer defects in the devices annealed after the TaN layer are due to the effect of passivation in the TiN layer. The defect in HfO2 is the source of trapping/detrapping; thus, fewer defects cause the decrement of the fluctuation and the increment of the drain current. We believe that this process has a potential to remove defects in advanced MOSFETs. (C) 2013 The Japan Society of Applied Physics
... 5,6 On the other hand, low-frequency (1/f) noise has been widely performed to characterize the trap properties in metaloxide-semiconductor field-effect transistors (MOSFETs) with the high-k dielectric. 7 It is inadequate to implement 1/f noise for small-area devices (<1 lm 2 ) owning to a large sample-tosample noise level variation. Therefore, random telegraph noise (RTN) is becoming a major concern for continuously scaled down devices in the present and future VLSI technology. ...
Article
The impact of post metal-deposition annealing (PMA) on the trap behavior of high-k/metal-gate metal-oxide-semiconductor field-effect transistors has been studied using drain current random telegraph noise (RTN). The RTN phenomenon is influenced by both trap positions and trap energy, thus corresponding with the PMA passivation mechanism. We found that trap positions in mono-metal-layer annealed (TiN annealed) devices are closer to the TiN/HfO2 interface due to the substitution of nitrogen atoms by oxygen atoms inside the TiN layer. However, replaced nitrogen atoms from TaN can passivate nitrogen defects in TiN that improves device characteristics in dual-metal-layer annealed (TiN/TaN annealed) devices.
... Low frequency noise measurements represent one of the most powerful tool to investigate the defect density and the conduction mechanisms in CMOS devices [1][2][3][4]. As the size of new generation devices shrinks toward the nanometric scale, the noise level can impact the correct operation even in the case of digital circuits. ...
Article
Full-text available
A two-channel measurement system suited for the on-wafer characterization of the gate and drain low frequency noise in MOSFETs is presented. Guidelines for designing the preamplifier and the bias stage at the drain and gate terminals are discussed. Results show that, the natural choice of employing transimpedance amplifiers as first preamplifier stage is useful only at the gate side, while it is preferable the use of voltage preamplifiers at the drain side to avoid voltage saturation. A simple prototype which implements the proposed design approach is reported. The system capability is tested through the measurement of the gate noise, the drain noise and the cross-correlation between the two channels in nMOSFETs with ultrathin oxide thickness.
... On the other hand, several studies have shown that low-frequency drain-current noise measurements represent one of the most powerful tools to investigate the material defectiveness [6][7][8][9][10][11]; therefore, noise analysis is very useful to validate the quality of the gate stack when new materials are introduced. Furthermore, a new model has been recently proposed in [12], where it is shown that low-frequency gate-current 1/f noise can also be used as a source of information for assessing the quality of the gate stack in MOS structures. ...
Article
Full-text available
Low frequency noise represents one of the most powerful tools to investigate the defect density and the conduction mechanisms in deeply scaled nanodevices such as MOSFETs. As the size of new generation devices shrinks towards the nanometric scale, the noise level can influence the correct operation of the circuits. In this paper, we illustrate the basic information needed to perform noise measurements, some references to the instrumentation involved and a few examples on how the noise investigation can be of help in the evaluation of the quality of innovative MOSFET gate stacks where high-k materials are implemented as gate dielectrics.