FIG 11 - uploaded by David R. Boris
Content may be subject to copyright.
Schematic of a remote inductively coupled plasma source. The distance between the plasma source and stage is typically 10s of cm in PEALD configurations. In some configurations, RF-powered electrodes are used to elevate ion energies at the growth surface.

Schematic of a remote inductively coupled plasma source. The distance between the plasma source and stage is typically 10s of cm in PEALD configurations. In some configurations, RF-powered electrodes are used to elevate ion energies at the growth surface.

Source publication
Article
Full-text available
The inclusion of plasma in atomic layer deposition processes generally offers the benefit of substantially reduced growth temperatures and greater flexibility in tailoring the gas-phase chemistry to produce specific film characteristics. The benefits plasmas provide, however, come at the cost of a complex array of process variables that often chall...

Citations

... Over the last two decades, plasma enhanced atomic layer deposition has played an increasingly important role in the advanced manufacturing and semiconductor sectors, in large part because the technique enables low temperature growth of thin films with atomic scale precision on a wide variety of substrates. [1][2][3] While there are significant advantages to the plasma-based approach for atomic layer deposition (ALD), the use of plasma also comes with substantial complexity. 3 While a variety of plasma sources can be used for plasma enhanced atomic layer deposition (PEALD), the remote inductively coupled plasma (ICP) is one of the most common types of sources due to its ability to effectively dissociate and excite the gas used in the reactant step, while spatially separating the production of ions from the growth substrate. ...
... [1][2][3] While there are significant advantages to the plasma-based approach for atomic layer deposition (ALD), the use of plasma also comes with substantial complexity. 3 While a variety of plasma sources can be used for plasma enhanced atomic layer deposition (PEALD), the remote inductively coupled plasma (ICP) is one of the most common types of sources due to its ability to effectively dissociate and excite the gas used in the reactant step, while spatially separating the production of ions from the growth substrate. At high reactor pressures, this allows one to deliver reactive neutral species to the surface while limiting the flux of ions to the surface, a potential source of film damage. ...
... Alternatively, the pressure can be reduced, and ions can be used as a means to drive the surface out of thermal equilibrium with the bulk substrate, encouraging the growth of crystalline films at low temperature. 3 A significant body of work has been dedicated to understanding the operation of inductively coupled plasma sources. [4][5][6][7][8][9][10][11][12][13] Less has been done examining the details of remote ICPs used in PEALD configurations, [14][15][16][17][18] where the ICP source is powered by a helical antenna encompassing a dielectric tube with a diameter much smaller than that of the downstream growth reactor. ...
Article
Full-text available
Plasma enhanced atomic layer deposition (PEALD) is a cyclic atomic layer deposition (ALD) process that incorporates plasma-generated species into one of the cycle substeps. The addition of plasma is advantageous as it generally provides unique reactants and a substantially reduced growth temperature compared to thermal approaches. However, the inclusion of plasma, coupled with the increasing variety of plasma sources used in PEALD, can make these systems challenging to understand and control. This work focuses on the use of plasma diagnostics to examine the plasma characteristics of a remote inductively coupled plasma (ICP) source, a type of plasma source that is commonly used for PEALD. Ultraviolet to near-infrared spectroscopy and spatially resolved Langmuir probe measurements are employed to characterize a remote ICP system using nitrogen-based gas chemistries typical for III-nitride growth processes. Spectroscopy is used to characterize the relative concentrations of important reactive and energetic neutral species generated in the remote ICP as a function of gas flow rate, Ar/N2 flow fraction, and gas pressure. In addition, the plasma potential and plasma density for the same process parameters are examined using an RF compensated Langmuir probe downstream from the ICP source. The results are also discussed in terms of their impact on materials growth.
... However, the deposition of crystalline Al 2 O 3 thin films using traditional thermal atomic layer deposition (ALD), often used for coating surfaces with complex shapes and/or deposition of ultrathin films, is challenging [10,14]. The use of plasma during the ALD process (PEALD) has the potential to facilitate the process, as it can reduce energy consumption and enable the growth of crystalline thin films with higher density at a reduced growth temperature (T G ) compared to thermal ALD processes [15]. The main functions of plasma in ALD process are as follows: ...
... When the ALD process occurs in the active plasma region, energy transfer to the film surface can also occur via ions and heavy particles impacting the surface with high kinetic energy. In this case, the temperature of the impact site increases locally because of the momentum exchange between these particles and film lattice atoms, enabling crystalline film growth at lower substrate temperatures [15]. Plasmas offer the flexibility to produce specific reactive species, for example, by choosing a suitable gas composition and gas pressure and tailoring gas-phase chemistry with ALD surface reactions to obtain thin films with specific properties [23]. ...
... Capacitively coupled plasma is a commonly used plasma source in PEALD reactors, which, based on geometry, can be divided into direct and indirect treatment devices [15]. In ...
Article
Full-text available
This study investigated the characteristics of radiofrequency, middle-pressure argon plasma used in the atomic layer deposition (ALD) of Al2O3 films. Based on the electrical characteristics—the current, voltage, and phase shift between them—and the stability of the plasma plume, the optimum plasma power, allowing reliable switching on of the plasma for any step of an ALD cycle, was determined. Spectral measurements were performed to determine the gas temperature and reactive species that could be important in the ALD process. The density of metastable argon atoms was estimated using tunable laser absorption spectroscopy. It was concluded that plasma heating of substrates did not affect film growth. The crystallization-enhancing effect of plasma observed in these experiments was due to the action of OH radicals produced in the plasma.
... The deposition of crystalline Al2O3 thin films using traditional thermal atomic layer deposition (ALD) is challenging. The use of plasma during the ALD process (PEALD) has the potential to facilitate the process as it can reduce energy consumption and enable growth of crystalline thin films with higher density at a reduced growth temperature (TG) compared to the thermal ALD processes [1]. The main functions of plasma in the ALD process are (i) generation of reactive species that participate in surface reactions [2][3][4][5][6][7], and (ii) energy delivery to treated surface. ...
... When the ALD process occurs in the active plasma region, energy transfer to the film surface can also occur via ions and heavy particles impacting the surface with high kinetic energy. In this case, the temperature of the impact site increases locally because of the momentum exchange between these particles and film lattice atoms, enabling crystalline film growth at lower substrate temperatures [1]. Plasmas offer the flexibility to produce specific reactive species, for example, by choosing a suitable gas composition and gas pressure, and tailoring gas-phase chemistry with ALD surface reactions to obtain thin films with specific properties [9]. ...
... Capacitively coupled plasma is a commonly used plasma source in PEALD reactors, which based on geometry, can be divided into direct and indirect treatment devices [1]. In the case of the direct treatment, the substrate is placed directly into the plasma on an electrode; therefore, the 2 deposited films experience reactions of neutral plasma species diffused to the film as well as the impact of ions. ...
Preprint
Full-text available
This study investigated the characteristics of radio-frequency middle-pressure argon plasma used in the atomic layer deposition (ALD) of Al2O3 films. Based on the electrical characteristics, that is current, voltage, and phase shift between them, and stability of plasma plume, the optimum plasma power, allowing reliable switching on the plasma for any step of an ALD cycle, was determined. Spectral measurements were performed to determine the gas temperature and reactive species that could be important in the ALD process. The density of metastable argon atoms was estimated using tunable laser absorption spectroscopy. It was concluded that plasma heating of substrates did not affect film growth and proposed that the crystallization-enhancing effect of plasma was due to the action of OH radicals, produced in the plasma.
... Most PE-ALD processes employ remote inductively coupled plasma (ICP) sources operating at powers ranging from 200 to 600 W. 11 While the remote nature of the plasma minimizes surface damage by suppressing ion bombardment, the high flux of radicals can result in substrate surface reactions during the initial stages of nucleation and growth, which is particularly pronounced for deposition of oxides using oxygen plasma (OP). [12][13][14][15] Due to these in situ oxidation processes, poorly controlled substrate/film interfaces, reduced gate capacitances, and high interface state densities can occur. ...
... 19,[26][27][28][29] As with the Si substrates, the OP 300 process leads to significant substrate oxidation, which can be suppressed by employing the OP 5 process. This finding highlights that low power PE-ALD offers a generally applicable strategy for improving interface quality compared to traditional processes performed with plasma powers of 200-600 W. 11 Having established the effectiveness of low power OP to suppress substrate oxidation during ALD, we now focus on the properties of the deposited layers. For this purpose, the number of cycles was adjusted to achieve uniform 10 nm thick films. ...
Article
Plasma-enhanced atomic layer deposition (PE-ALD) is widely employed in microelectronics, energy, and sensing applications. Typically, PE-ALD processes for metal oxides utilize remote inductively coupled plasmas operated at powers of >200 W, ensuring a sufficient flux of oxygen radicals to the growth surface. However, this approach often leads to significant oxidation of chemically sensitive substrates, including most technological semiconductors. Here, we demonstrate that plasma powers as low as 5 W can effectively suppress substrate oxidation while maintaining the structural, optical, and electronic quality of the films. Specifically, we investigate the growth of titanium oxide (TiOx) using two commonly used metalorganic precursors, titanium isopropoxide and tetrakis(dimethylamino)titanium. Films deposited with 5 and 300 W oxygen plasma power are nearly indiscernible from one another, exhibiting significantly lower defect concentrations than those obtained from thermal ALD with H2O. The low plasma power process preserves desired physical characteristics of PE-ALD films, including large optical constants (n > 2.45 at 589 nm), negligible defect-induced sub-bandgap optical absorption (α < 102 cm−1), and high electrical resistivity (>105 Ω cm). Similar behavior, including suppressed interface oxidation and low defect content, is observed on both Si and InP substrates. As an example application of this approach, the assessment of InP/TiOx photocathodes and Si/TiOx photoanodes reveals a significant improvement in the photocurrent onset potential in both cases, enabled by suppressed substrate oxidation during low power PE-ALD. Overall, low power PE-ALD represents a generally applicable strategy for producing high quality metal oxide thin films while minimizing detrimental substrate reactions.
... In contrast, Boris et al. pointed out that pure O 2 plasma can produce a higher film quality than an Ar-diluted O 2 plasma. 51 In our work, inclusion of 20 SCCM Ar with 50 SCCM O 2 during plasma cycles reduced the GPC to ∼0.11 from ∼0.15 nm (50 sccm O 2 -only). In addition, the 20/50 SCCM Ar/O 2 plasma chemistry considerably reduced the TEMAV chemisorption (∼0.9 vs ∼0.15 nm) due to the inefficient ligand removal process at (∼15 s) the plasma exposure as shown in Fig. 2 ...
... Prior reports indicated both higher and lower GPC values due to the addition of Ar to O 2 plasma. 50,51 In our experiments, Ar plasma slowed down the growth process. Based on the higher refractive index and GPC values measured by ex situ ellipsometry, the recipe with 10 s, 100 W, and 50 SCCM O 2 -only plasma at 150°C can be considered the best among the experimented growth conditions. ...
... Previous related studies have reported both increase and decrease in the GPC with Ar combined O 2 plasma. 50,51 In our study here, it was realized that adding Ar in the O 2 plasma reduced the GPC to ∼0.14 Å and VTIP chemisorption to ∼0.1 nm. So, 50 SCCM O 2 -only plasma chemistry was preferred based on the highest chemisorption (∼0.19 nm) and GPC (∼0.3 Å). ...
Article
Due to its different polymorphs, including vanadium pentoxide (V2O5) and vanadium dioxide (VO2), the vanadium oxide (VOX) compound is an immensely interesting material with many important applications. While atomic layer deposition (ALD) is among the possible VOX film synthesis methods, literature reports have majorly utilized thermal-ALD, which reveals as-grown amorphous VOX films. Further post-deposition annealing process is needed to crystallize these films. High-temperature crystallization indeed limits the use of low-temperature compatible materials, processes, and substrates. In this work, we report on the low-temperature crystalline VOX film growth in a hollow-cathode plasma-enhanced atomic layer deposition reactor using two different vanadium precursors, tetrakis(ethylmethylamino)vanadium and vanadium(V) oxytriisopropoxide. Oxygen plasmas were used as co-reactants at a substrate temperature of 150 °C. Along with the purpose of investing in the impact of metal precursors on VOX film growth, we also studied Ar-plasma in situ and thermal ex situ annealing to investigate possible structural enhancement and phase transformation. In situ Ar-plasma annealing was performed with 20 s, 20 SCCM Ar-plasma, while post-deposition ex situ annealing was carried out at 500 °C and 0.5 mTorr O2 pressure. In situ ellipsometry was performed to record instant film thickness variation and several ex situ characterizations were performed to extract the optical, structural, and electrical properties of the films. The outcomes of the study confirm that both metal precursors result in as-grown crystalline V2O5 films at 150 °C. On the other hand, post-deposition annealing converted the as-grown crystalline V2O5 film to VO2 film. Finally, we have also successfully confirmed the metal-to-insulator transition property of the annealed VO2 films via temperature-dependent structural and electrical measurements.
... During an electric discharge in a common laboratory, the electric field initially transfers energy to electrons, which then transfers it to heavy particles (i.de., atoms and molecules) through collisions. The probability of any electron-impact processes occurring, such as electron-impact dissociation and ionization of atoms and molecules, depends on the electron temperature, which is equivalent to electron energy [62], [63], [64]. Electron-collision processes initiate the production of RONS in atmospheric pressure plasma. ...
Article
The influence of plasma-activated water (PAW) as a liquid nitrate–nitrogen (NO $_{3}$ –N) fertilizer on microalgae cultivation has been studied in this research. A helical-electrode gliding arc plasma generator (HGPG) has been proposed for practical use in a circulating PAW generation system. The arcing gap ( $A_{\text{G}}$ ) between HGPG electrodes and treatment time has played a significant role in increasing electrical conductivity (EC), hydrogen peroxide, NO $_{3}$ –N concentration, and oxidation–reduction potential (ORP) of PAW contrasting to the pH, which has the opposite trend. At the 0.25-cm $A_{\text{G}}$ and 45-min treatment time with a 15-kV, 125-W commercial neon power supply, EC, ORP, and NO $_{3}$ –N concentrations of PAW are 3.40, 2.0, and 12.30 times higher than that of the control group, respectively. The pH has dropped from 7.45 $\pm$ 0.04 to 3.74 $\pm$ 0.02. Regarding the experimental result of applying PAW in microalgae farming, it could be confirmed that the PAW generated by HGPG could be used as a potential nitrogen compound fertilizer for microalgae cultivation. The highest specific growth rates ( $\mu $ ) of microalgae from the setup mentioned earlier conditions are 1.117 times higher than that of the control group.
... The pressure dependence suggests that while H radicals likely play a major role in changing stoichiometry from MoS 3.5 to MoS 1.9 , low-energy ions, such as H 3 + , 40 may have a beneficial effect on crystallinity. 35,41 While the amorphous, sulfur-rich films deposited by the A B 0.20 process are smooth (Figure 1c), the crystalline MoS 2 films obtained with the A B 0.20 C H2 process exhibit a rough surface (Figure 1d). Surface roughness causes charge carrier scattering, which limits electrical performance, and may lead to challenges in integration to nanoscale devices. ...
Article
Full-text available
Two-dimensional MoS2 is a promising material for applications, including electronics and electrocatalysis. However, scalable methods capable of depositing MoS2 at low temperatures are scarce. Herein, we present a toolbox of advanced plasma-enhanced atomic layer deposition (ALD) processes, producing wafer-scale polycrystalline MoS2 films of accurately controlled thickness. Our ALD processes are based on two individually controlled plasma exposures, one optimized for deposition and the other for modification. In this way, film properties can be tailored toward different applications at a very low deposition temperature of 150 °C. For the modification step, either H2 or Ar plasma can be used to combat excess sulfur incorporation and crystallize the films. Using H2 plasma, a higher degree of crystallinity compared with other reported low-temperature processes is achieved. Applying H2 plasma steps periodically instead of every ALD cycle allows for control of the morphology and enables deposition of smooth, polycrystalline MoS2 films. Using an Ar plasma instead, more disordered MoS2 films are deposited, which show promise for the electrochemical hydrogen evolution reaction. For electronics, our processes enable control of the carrier density from 6 × 1016 to 2 × 1021 cm-3 with Hall mobilities up to 0.3 cm2 V-1 s-1. The process toolbox forms a basis for rational design of low-temperature transition metal dichalcogenide deposition processes compatible with a range of substrates and applications.
... Application of a plasma during growth can open or widen a process window, particularly enabling low-temperature growth in which energy from energetic species compensates for lack of thermal energy. [7][8][9] However, the details of plasma-based growth processes are seldom fully understood. Understanding the processes driving growth in a plasma environment, as well as in other complex growth methods, needs correspondingly innovative methods to reveal the detailed nano-and atomic-scale surface structural evolution. ...
Preprint
Full-text available
Sophisticated thin film growth techniques increasingly rely on the addition of a plasma component to open or widen a processing window, particularly at low temperatures. However, the addition of the plasma into the growth environment also complicates the surface dynamical evolution. Taking advantage of continued increases in accelerator-based X-ray source brilliance, this real-time study uses X-ray Photon Correlation Spectroscopy (XPCS) to elucidate the nanoscale surface dynamics during Plasma-Enhanced Atomic Layer Deposition (PE-ALD) of an epitaxial indium nitride film. XPCS examines the evolution of the coherent X-ray scattering speckle pattern, which is a fingerprint of the unique sample microstructure at each moment in time. In PE-ALD, ultrathin films are synthesized from repeated cycles of alternating self-limited surface reactions induced by temporally-separated pulses of material precursor and plasma reactant, allowing the influence of each on the evolving morphology to be examined. During the heteroepitaxial 3D growth examined here, sudden changes in surface structure during initial film growth, consistent with numerous overlapping stress-relief events, are observed. When the film becomes continuous, the nanoscale surface morphology abruptly becomes long-lived with correlation time spanning the period of the experiment. Throughout the growth experiment, there is a consistent repeating pattern of correlations associated with the cyclic growth process, which is modeled as transitions between different surface states. The plasma exposure does not simply freeze in a structure that is then built upon in subsequent cycles, but rather there is considerable surface evolution during all phases of the growth cycle.
... In addition to radicals, of which the diffusion is isotropic, the charged ions also play an important part in the PALD process. The charged ions can be accelerated in the direction perpendicular to the substrate surface within the plasma sheath, thus leading to the ion bombardment [90]. The ion energy is susceptible to the mean free path, plasma sheath thickness, and applied bias. ...
Article
Full-text available
Atomic layer deposition (ALD) has become an indispensable thin-film technology in the contemporary microelectronics industry. The unique self-limited layer-by-layer growth feature of ALD has outstood this technology to deposit highly uniform conformal pinhole-free thin films with angstrom-level thickness control, particularly on 3D topologies. Over the years, the ALD technology has enabled not only the successful downscaling of the microelectronic devices but also numerous novel 3D device structures. As ALD is essentially a variant of chemical vapor deposition, a comprehensive understanding of the involved chemistry is of crucial importance to further develop and utilize this technology. To this end, we, in this review, focus on the surface chemistry and precursor chemistry aspects of ALD. We first review the surface chemistry of the gas-solid ALD reactions and elaboratively discuss the associated mechanisms for the film growth; then, we review the ALD precursor chemistry by comparatively discussing the precursors that have been commonly used in the ALD processes; and finally, we selectively present a few newly-emerged applications of ALD in microelectronics, followed by our perspective on the future of the ALD technology.
... increase the structural quality of films grown in the processes using TMA as the metal precursor, and make the deposition of α-Al 2 O 3 films more compatible with existing technologies. A possibility to reduce T G that still enabled crystallization during the ALD growth was to use plasma-enhanced ALD (PEALD) [30][31][32][33]. For instance, Kamimura et al. [30] deposited epitaxial γ-Al 2 O 3 films on planar β-Ga 2 O 3 (0 1 0) substrates at 250 • C. Additionally, the TiO 2 thin films had crystallized in the anatase phase at 80 • C [33] and rutile phase at 100 • C [31,32] during PEALD while in thermal ALD processes, these phases had been obtained at temperatures ≥125 • C [23,27,34,35] and ≥150 • C [23,27,35], respectively. ...
... A possibility to reduce T G that still enabled crystallization during the ALD growth was to use plasma-enhanced ALD (PEALD) [30][31][32][33]. For instance, Kamimura et al. [30] deposited epitaxial γ-Al 2 O 3 films on planar β-Ga 2 O 3 (0 1 0) substrates at 250 • C. Additionally, the TiO 2 thin films had crystallized in the anatase phase at 80 • C [33] and rutile phase at 100 • C [31,32] during PEALD while in thermal ALD processes, these phases had been obtained at temperatures ≥125 • C [23,27,34,35] and ≥150 • C [23,27,35], respectively. Nevertheless, the majority of studies on PEALD of Al 2 O 3 had been focused on deposition and characterization of amorphous films [28,29,36,37] while for crystallization, postdeposition annealing had been used [37]. ...