Fig 14 - uploaded by Basil Hamed
Content may be subject to copyright.
Photovoltaic with MPPT system. 

Photovoltaic with MPPT system. 

Source publication
Conference Paper
Full-text available
In this paper, Two fuzzy logic controllers are fabricated on modern FPGA card (Spartan-3AN, Xilinx Company, 2009) to increase the energy generation efficiency of solar cells. These controllers are, sun tracking controller and maximum power point tracking controller. Sun tracking generating power system is designed and implemented in real time. A tr...

Context in source publication

Context 1
... prototype is shown in Fig. 11 consists of a mechanical mechanism of 2 degrees of freedom (D.O.F) designed to support and direct a PV solar cell attached to it. Mechanism has the ability to rotate the PV cell about 2_axes, x or z. But initially, we have locked z- axis rotation and applied control scheme to x- axis only. Electro- mechanical drive system of x- axis consists of a stepper motor with a1.5 cm radius pulley attached to its shaft and is driving a 2.5 cm radius pulley attached to main driving shaft as shown in Fig. 14, through a belt. Belt mechanism realizes a speed reduction of 40% ((1-1.5/2.5)× 100), and a torque increase of 40% in order to with stand demand load. Main driving shaft, shown in Fig. 11 is attached to the main frame and supported with two bearings. Also, this shaft is provided by an electro-mechanical clutch in order to prevent axis rotation when driving motor is disabled and to assure to keep the PV panel at the same end position. Main driving shaft transmits rotation to the second shaft, shown in Fig. 11, through two identical meshing gears with the same angular speed. Second shaft is supported by the main frame by two ball bearings. PV panel is attached to the second shaft and its angular position is measured with a potentiometer attached to the second shaft ...

Citations

... It was concluded that the second showed the best ST performance. Similarly, Hamed and El-Moghany (2012) implemented an FLC in an ST system by a field-programmable gate array (FPGA) in the Matlab/Simulink® environment. This controller employs the Mamdani method, which has three parts: fuzzification, rule-based system, and defuzzification. ...
Article
It is well known that concentrating solar power and concentrating photovoltaic technologies require high accuracy and high precision solar tracking systems in order to achieve greater energy conversion efficiency. The required tracking precision depends primarily on the acceptance angle of the system, which is generally tenths of a degree. Control algorithms applied to active solar tracking systems command and manipulate the electrical signals to the actuators, usually electric motors, with the goal of achieving accurate and precise solar tracking. In addition, a solar tracking algorithms system must provide robustness against disturbances, and it should operate with minimum energy consumption. In this work, a systematic review of the control algorithms implemented in active solar tracking systems is presented. These algorithms are classified according to three solar tracking control strategies: open-loop, closed-loop and combined open- and closed-loop schemes herein called hybrid-loop. Their working principles as well as the main advantages and disadvantages of each strategy are analyzed. It is concluded that the most widely used solar tracking control strategy is closed-loop, representing 54.39% of all the publications consulted. On–off, fuzzy logic, proportional-integral-derivative and proportional-integral are the control algorithms most applied in active solar tracking systems, representing 57.02%, 10.53%, 6.14% and 4.39%, respectively.
... Field programmable gate arrays (FPGAs) are digital integrated circuits that contain several millions of programmable logic blocks connected together with configurable interconnections. FPGA is used for hardware implementation for many applications especially FLC because of the required high speed and parallel processing of fuzzy logic applications [19], [20]. ...
Article
Full-text available
It is important to have an efficient maximum power point tracking (MPPT) technique to increase the photovoltaic (PV) generation system output efficiency. This paper presents a design of MPPT techniques for PV module to increase its efficiency. Perturb and Observe method (P&O), incremental conductance method (IC), and Fuzzy logic controller (FLC) techniques are designed to be used for MPPT. Also FLC is built using MATLAB/SIMULINK and compared with the FLC toolbox existed in the MATLAB library. FLC does not need knowledge of the exact model of the system so it is easy to implement. A comparison between different techniques shows the effectiveness of the fuzzy logic controller techniques. Finally, the proposed FLC is built in very high speed integrated circuit description language (VHDL). The simulation results obtained with ISE Design Suite 14.6 software show a satisfactory performance with a good agreement compared to obtained values from MATLAB/SIMULINK. The good tracking efficiency and rapid response to environmental parameters changes are adopted by the simulation results. Copyright © 2017 Institute of Advanced Engineering and Science. All rights reserved.
... The operation of the buck converter alternates between connecting the inductor to source voltage to store energy in the inductor when the transistor is ON and discharging the inductor into the load when the switch is OFF [16]. If a steady state condition exists, the relationship between the output voltage (V out ) and the input voltage (V in ) is: ...
... It should be point out that the experimental results in reference [16,17] shown that FLC based MPPT method can be collected the daily energy effectively. Therefore, it can be concluded that the artificial intelligence based MPPT methods such as FLC and ANFIS are efficient and effective to track MPP quickly under varying the weather conditions. ...
... Many projects and researches are noted that focus on intelligent controller in the optical sensor based sun tracker. B. Hamed and M. EL-Moghany [3] designed and implemented fuzzy logic controllers via Field Programmable Gate Array (FPGA) to control one axis sun tracker. They used stepper motor to improve accuracy of the sun tracker. ...
Article
Full-text available
Nowadays, renewable energy is being used increasingly because of the global warming and destruction of the environment. Therefore, the studies are concentrating on gain of maximum power from this energy such as the solar energy. A sun tracker is device which rotates a photovoltaic (PV) panel to the sun to get the maximum power. Disturbances which are originated by passing the clouds are one of great challenges in design of the controller in addition to the losses power due to energy consumption in the motors and lifetime limitation of the sun tracker. In this paper, the neuro -fuzzy controller has been designed and implemented us ing Field Programmable Gate Array (FPGA) board for dual axis sun tracker based on optical sensors to orient the PV panel by two linear actuators . The experimental results reveal that proposed controller is more robust than fuzzy logic controller and proportional integral (PI) controller since it has been trained offline using Matlab tool box to overcome those disturbances. The proposed controller can track the sun trajectory effectively, where the experimental results reveal that dual axis sun tracker power can collect 50.6% more daily power than fixed angle panel. Whilst one axis sun tracker power can collect 39.4 % more daily power than fixed angle panel. Hence, dual axis sun tracker can collect 8 % more daily power than one axis sun tracker
... Many projects and researches are noted that focus on intelligent controller in the optical sensor based sun tracker. B. Hamed and M. EL-Moghany [3] designed and implemented fuzzy logic controllers via Field Programmable Gate Array (FPGA) to control one axis sun tracker. They used stepper motor to improve accuracy of the sun tracker. ...
Article
Nowadays, renewable energy is being used increasingly because of the global warming and destruction of the environment. Therefore, the studies are concentrating on gain of maximum power from this energy such as the solar energy. A sun tracker is device which rotates a photovoltaic (PV) panel to the sun to get the maximum power. Disturbances which are originated by passing the clouds are one of great challenges in design of the controller in addition to the losses power due to energy consumption in the motors and lifetime limitation of the sun tracker. In this paper, the neuro-fuzzy controller has been designed and implemented using Field Programmable Gate Array (FPGA) board for dual axis sun tracker based on optical sensors to orient the PV panel by two linear actuators. The experimental results reveal that proposed controller is more robust than fuzzy logic controller and proportional-integral (PI) controller since it has been trained offline using Matlab tool box to overcome those disturbances. The proposed controller can track the sun trajectory effectively, where the experimental results reveal that dual axis sun tracker power can collect 50.6% more daily power than fixed angle panel. Whilst one axis sun tracker power can collect 39.4 % more daily power than fixed angle panel. Hence, dual axis sun tracker can collect 8 % more daily power than one axis sun tracker.
... Each solar module constructed by several solar cells that connected in series and parallel to generate the required power. For obtaining more power, solar PV module connected in series and parallel to form solar PV arrays [11]. The equivalent circuit model of a solar cell consists of a light generated current source, diode and series and parallel resistances. ...
Article
Full-text available
In this paper, a sliding surface is defined based on the inductor current to construct a robust controller for Maximum Power Point Tracking (MPPT) in the photovoltaic (PV) systems. An adaptive incremental conductance algorithm has been used to obtain the set point of the controller. An improvement is achieved by this combination, especially in fast irradiance variations. The robust stability of the method is proved using the Lyapunov stability theorem. A comparative study is also made to highlight the benefits of the proposed algorithm. The features of this controller, in terms of robustness against the irradiance variations, are demonstrated by theoretical analysis, simulations and an experimental study. The results demonstrate that the proposed controller can be implemented effectively and economically with high MPPT efficiency.
... Renewable energy sources are energy sources that are continually replenished. These include energy from water, wind, geothermal sources, biomass sources and the sun .The solar energy is the most important resource of renewable energy which can be turned into electrical energy which is known as the theory of Photovoltaic (PV) and can be tuned into thermal energy [1]. ...
... Heating and the production of wind power are typical examples of this type of applications. When dealing with such applications, other types of control systems like fuzzy logic, fuzzy-PID controllers, neural networks, or a combination of them are more suitable because they can handle nonlinear models more effectively [16–22]. ...
Article
Full-text available
This paper proposes the development of an advanced fuzzy logic controller which aims to perform intelligent automatic control of the yaw movement of wind turbines. The specific fuzzy controller takes into account both the wind velocity and the acceptable yaw error correlation in order to achieve maximum performance efficacy. In this way, the proposed yaw control system is remarkably adaptive to the existing conditions. In this way, the wind turbine is enabled to retain its power output close to its nominal value and at the same time preserve its yaw system from pointless movement. Thorough simulation tests evaluate the proposed system effectiveness.
... Several fuzzy control applications including the physical systems, require a real time operation to interface high speed constraints [6,7]. Higher density programmable logic devices, such as field programmable gate array (FPGA) can be used to integrate large amounts of fuzzy logic in a single integrated circuit (IC) [8,9]. FPGAs are one of the fastest growing parts of the digital integrated circuit market in recent times. ...
Article
Full-text available
This paper describes an implementation of a fuzzy logic control (FLC) system and a/the conventional proportional-integral (PI) controller for speed control of DC motor, based on field programmable gate array (FPGA) circuit. The proposed scheme is aimed to improve the tracking performance and to eliminate the load disturbance in the speed control of DC motors. The proposed fuzzy system has been applied to a permanent magnet DC motor, via a configuration of H-bridge. The fuzzy control algorithm is designed and verified with a nonlinear model, using the MATLAB® tools. Both FLC and conventional PI controller hardware are synthesized, functionally verified and implemented using Xilinx Integrated Software Environment (ISE) Version 11.1i. The real time implementation of these controllers is made on Spartan-3E FPGA starter kit (XC3S500E). The practical results showed that the proposed FLC scheme has better tracking performance than the conventional PI controller for the speed control of DC motors.
Article
Full-text available
The vast majority of embedded system designs start with a processor-based system, using a microcontroller or microprocessor as the core element to predict and process the basic control tasks. This paper aims to increase the reliability of controlling a stepper motor by an embedded circuit, which generates four digital signals of pulse width modulation (PWM). The manager of this circuit is an embedded processor licensed from Microblaze, which sits within the FPGA architecture Virtex 5. This processor analyzes the parameters to execute the rotation under the best conditions (energy consumption, speed, precision, reliability). The communication with the PC takes place via the RS232 link to provide feedback. The autonomous processor generates an independent control frequency of the PC. The four digital signals of PWM are simulated on Xilinx's ISim interface and sent to the ULN2803 amplifier circuit to run the stepper motor.