Overview of relevant nanofabrication processes after addition of material to the sample from a heated tip. a Direct deposition of a molten material 108,111-116,127 or a loaded carrier matrix (e.g., polymer containing nanoparticles), which can be removed after the transfer 117 . b Direct deposition of a resist for dry etching into the substrate material 118,121 . c The etched structure can be used as a master for molding 118 . d Direct deposition of etch-mask nanostructures for a solvent free pattern transfer 119,120 . e Local functionalization of a low-dimensional material by selectively protecting certain areas with a nanoscale mask 110 . f Fabrication of free-standing nanostructures by dry etching into a first layer and subsequently removing of the sacrificial layer below 122

Overview of relevant nanofabrication processes after addition of material to the sample from a heated tip. a Direct deposition of a molten material 108,111-116,127 or a loaded carrier matrix (e.g., polymer containing nanoparticles), which can be removed after the transfer 117 . b Direct deposition of a resist for dry etching into the substrate material 118,121 . c The etched structure can be used as a master for molding 118 . d Direct deposition of etch-mask nanostructures for a solvent free pattern transfer 119,120 . e Local functionalization of a low-dimensional material by selectively protecting certain areas with a nanoscale mask 110 . f Fabrication of free-standing nanostructures by dry etching into a first layer and subsequently removing of the sacrificial layer below 122

Source publication
Article
Full-text available
Fundamental aspects and state-of-the-art results of thermal scanning probe lithography (t-SPL) are reviewed here. t-SPL is an emerging direct-write nanolithography method with many unique properties which enable original or improved nano-patterning in application fields ranging from quantum technologies to material science. In particular, ultrafast...

Citations

... This geometry we realize by choosing the directrix in the form γ = xx + f (x)ŷ with f = A cos(qx). Formation of films of such a film can be experimentally accessible via thermal scanning probe lithography [21,22]. Computing the curvature [23] in order to find distribution of the Néel vector along the surface, see Fig. 2(a). ...
Preprint
We consider a thin film of $d$-wave altermagnet bent in a stretching-free manner and demonstrate that gradients of the film curvature induce a local magnetization which is approximately tangential to the film. The magnetization amplitude directly reflects the altermagnetic symmetry and depends on the direction of bending. It is maximal for the bending along directions of the maximal altermagnetic splitting of the magnon bands. A periodically bent film of sinusoidal shape possesses a total magnetic moment per period $\propto\mathscr{A}^2q^4$ where $\mathscr{A}$ and $q$ are the bending amplitude and wave vector, respectively. The total magnetic moment is perpendicular to the plane of the unbent film and its direction (up or down) is determined by the bending direction. A film roll up to a nanotube possesses a toroidal moment directed along the tube $\propto \delta_r/r^2$ per one coil, where $r$ and $\delta_r$ are the coil radius and the pitch between coils. All these analytical predictions agree with numerical spin-lattice simulations.
... In the last decades, SPL approaches have been extensively exploited in both academic and industrial research fields because of their versatility, flexibility, low cost, accuracy, and nanoscale resolution [32,39,40]. To date, several types of SPL methods have been developed such as Local Anodic Oxidation (LAO) [40] or thermal [41], electric [42], dip-pen [43], and mechanical lithography [44]. In this framework, mechanical-SPL (m-SPL) is recently emerging as a very promising approach in the SPL domain, since it allows the manipulation of materials with a sub-nanometer resolution by applying a wide range of force on the sample surface, according to different operations modes [45,46]. ...
... In this method, localized material modifications are induced using thermal energy generated by a heated tip (Fig. 7 a and b). Indeed, the AFM probe can be heated up to 800 • C by employing a laser beam [41,107,108] or a micrometric electric resistance [41,109]. In this way, the tip represents a heat source that can be used in different applications, such as improving the material removal in mechanical fabrication processes, the determination of a local chemical change of the 2D material substrates, and the transfer of material to the sample's surface through a physic or chemical process thermally activated. ...
... In this method, localized material modifications are induced using thermal energy generated by a heated tip (Fig. 7 a and b). Indeed, the AFM probe can be heated up to 800 • C by employing a laser beam [41,107,108] or a micrometric electric resistance [41,109]. In this way, the tip represents a heat source that can be used in different applications, such as improving the material removal in mechanical fabrication processes, the determination of a local chemical change of the 2D material substrates, and the transfer of material to the sample's surface through a physic or chemical process thermally activated. ...
Article
Full-text available
In recent years, the scientific community's interest in nanoscience and nanotechnology stems from the increasing capability to manipulate matter at the nanoscale. Nanotechnology development is closely linked to fabricating and characterizing structures below 100 nm, driven by technological advancements enabling their in-depth analysis. Up to now, several top-down and bottom-up nanofabrication approaches have been developed to realize a plethora of nanostructures. Although effective, these methods have many drawbacks like high costs and limitations in feature size. In this scenario, Scanning Probe-based Lithography (SPL) emerges as a very promising alternative to conventional nanofabrication techniques, overcoming their main method limitations with versatility , flexibility, low cost, and nanoscale resolution. This review focuses on mechanical Scanning Probe-based Lithography (m-SPL), tracing its evolution from inception to recent advances. Different m-SPL methods, such as Nanoindentation, Static and Dynamic Plowing lithography, Nanomilling, and their variants are discussed in-depth, emphasizing their advantages and drawbacks, and highlighting their application. Moreover, this review explores the effects of combining m-SPL with other energy sources, such as heat and electric energy, and outlines future perspectives in the field. Overall, m-SPL stands out as a promising avenue in nanofabrication, offering sub-nanometer resolution and diverse material manipulation capabilities.
... Thermal scanning probe lithography (t-SPL), uses a heatable ultra-sharp tip for the simultaneous writing and reading of nanoscale structures on thermally-responsive surfaces, namely on thermal resists [27,28]. The t-SPL cantilever integrates a thermal height sensor and a heating element, both instrumental in the fabrication of nanoelectronics, and quantum computing devices [29]. ...
Article
Full-text available
Semiconductor nanowire (NW) quantum devices offer a promising path for the pursuit and investigation of topologically-protected quantum states, and superconducting and spin-based qubits that can be controlled using electric fields. Theoretical investigations into the impact of disorder on the attainment of dependable topological states in semiconducting nanowires with large spin orbit coupling and g-factor highlight the critical need for improvements in both growth processes and nanofabrication techniques. In this work, we used a hybrid lithography tool for both the high-resolution thermal scanning probe lithography and high-throughput direct laser writing of quantum devices based on thin InSb nanowires with contact spacing of 200 nm. Electrical characterization demonstrates quasi-ballistic transport. The methodology outlined in this study has the potential to reduce the impact of disorder caused by fabrication processes in quantum devices based on 1-D semiconductors.
... Thermal scanning probe lithography (t-SPL) has also been used for grayscale nanopatterning, demonstrating lateral spatial resolutions down to the single-digit nanometer and sub-nanometer vertical depth control [7][8][9][10] . The thermal spreading effect caused by the heated tip in t-SPL is not as severe as the proximity effect caused by electron scattering in EBL. ...
Article
Full-text available
Grayscale structured surfaces with nanometer-scale features are used in a growing number of applications in optics and fluidics. Thermal scanning probe lithography achieves a lateral resolution below 10 nm and a vertical resolution below 1 nm, but its maximum depth in polymers is limited. Here, we present an innovative combination of nanowriting in thermal resist and plasma dry etching with substrate cooling, which achieves up to 10-fold amplification of polymer nanopatterns into SiO 2 without proportionally increasing surface roughness. Sinusoidal nanopatterns in SiO 2 with 400 nm pitch and 150 nm depth are fabricated free of shape distortion after dry etching. To exemplify the possible applications of the proposed method, grayscale dielectric nanostructures are used for scalable manufacturing through nanoimprint lithography and for strain nanoengineering of 2D materials. Such a method for aspect ratio amplification and smooth grayscale nanopatterning has the potential to find application in the fabrication of photonic and nanoelectronic devices.
... Precise control of ferroelectric surface morphology as well as domain structures is essential for numerous applications such as data storage 3,4 and electro-optic devices 14 . During the nanostructuring of materials, including ferroelectrics, patterns with desired size, shape, and periodicity are transferred to the target substrate, generally via an intermediate bridging process using masking, resist, imprint or local thermochemical interactions [15][16][17][18] . In contrast, intrinsic properties of the substrate, such as ferroelectric polarization, are rarely employed as a marker for patterning, although we note the demonstration of selective deposition of functionalized nanoparticles 19 and chemical reaction rate difference 20 depending on the surface chemistry of ferroelectric domains. ...
... We note that the use of a nanoscale probe allows us to create complex structures of nanoscale ferroelectric domains, and therefore, the resulting mechanical lithography shows significant technological promise. Although many previous studies on scanning probe lithography successfully carried out sample structuring, with a height difference obtained via mechanical, thermal or chemical patterning 17,18,44 , the present work uniquely demonstrates scanning probe nanostructuring using the tribological asymmetry between domains with different polarization orientations. ...
Article
Full-text available
Switchable tribological properties of ferroelectrics offer an alternative route to visualize and control ferroelectric domains. Here, we observe the switchable friction and wear behavior of ferroelectrics using a nanoscale scanning probe—down domains have lower friction coefficients and show slower wear rates than up domains and can be used as smart masks. This asymmetry is enabled by flexoelectrically coupled polarization in the up and down domains under a sufficiently high contact force. Moreover, we determine that this polarization-sensitive tribological asymmetry is widely applicable across various ferroelectrics with different chemical compositions and crystalline symmetry. Finally, using this switchable tribology and multi-pass patterning with a domain-based dynamic smart mask, we demonstrate three-dimensional nanostructuring exploiting the asymmetric wear rates of up and down domains, which can, furthermore, be scaled up to technologically relevant (mm–cm) size. These findings demonstrate that ferroelectrics are electrically tunable tribological materials at the nanoscale for versatile applications.
... Comparison of various highresolution maskless lithography methods [2][3][4][5][6] Fig. 1 Schematic diagram of highresolution direct laser writing lithography based on phase change thin film ...
... Scanning probe lithography (SPL) techniques are a new domain of nanolithography techniques which utilize the confinement of various physical phenomena under a sharp tip. Thermal and electrical effects on materials have led to the development of various divisions of SPL techniques known as thermal-SPL (t-SPL) [5,6] and oxidation-SPL (o-SPL) respectively [6,7]. The t-SPL technique relies on a heated tip to induce transformations on a surface, usually a polymer layer, and was envisioned to be utilized in a high-density data storage system [8]. ...
Article
Full-text available
Controlled electrochemical reactions on chromium (Cr) thin films have been employed to create micro- and nano-scale patterns using a scanning probe-based patterning process called electrolithography (ELG). The electrochemical reaction produces a liquid material. The ELG process, being a local anodic oxidation-based technique, is significantly affected by several factors, including various ambient conditions. In this article, we explore the effects of temperature on the said electrochemical reaction-induced liquid material formation process. Keeping other ambient conditions constant, the temperature is varied over a large range, and we observe that a 40 ºC change in temperature results in a 20-time change in the radial spread of the liquid region. This observation is thereafter explained by the effect of temperature on three different parameters affecting the rate of electrochemical reaction. Thus, based on this study, we can say that temperature is one of the most crucial parameters which can be used to confine the lateral spread of the formed liquid region and thereby improve the resolution of the patterns created using the ELG technique.
... The success of SPM and SPL motivated researchers at IBM to explore the use of heated probes-thermal scanning-probe lithography (tSPL) [10]-to pattern and read small volumes of material as a means of high-density data storage, in an effort known as the Millipede Project [11]. The technology did not become commercially viable as a data storage medium, however, it found a valuable application pivot to a high-resolution nanolithography tool [12][13][14][15], which is now sold as a commercial instrument under the Rendering of a tSPL cantilever and a smooth topographical landscape. tSPL is comprised of a cantilever (grey) and a sharp silicon tip (glowing orange), which can produce a smooth topographical landscape in polymer resist (blue). ...
... Additionally, the unique advantage of tSPL is the ability to control the temperature and applied force of the tip, which can be used to produce smooth topographical surfaces that are unattainable with conventional nanofabrication techniques. Furthermore, these surfaces can be produced with nanometer precision in minutes under ambient conditions on a standard lab benchtop, enabling rapid prototyping at the nanoscale [13]. ...
... The benefit of this approach is the direct link between theory and experiment, where mathematically precise potentials offer previously unattainable possibilities [19][20][21][22]. After a decade of research as a commercially available tool, the tSPL community is growing and exploring new ideas [13]. This paper serves as a guide to researchers entering the field by establishing the procedures, possibilities, and limitations of tSPL for generating smooth potential landscapes, and discusses applications in photonics, electronics, and nanomaterials science. ...
Article
Full-text available
Scanning probe microscopy (SPM) uses a sharp tip to interrogate surfaces with atomic precision. Inputs such as mechanical, electrical, or thermal energy can activate highly localized interactions, providing a powerful class of instruments for manipulating materials on small length scales. Thermal scanning-probe lithography (tSPL) is an advanced SPM variant that uses a silicon tip on a heated cantilever to locally sublimate polymer resist, acting as a high-resolution lithography tool and a scanning probe microscope simultaneously. The main advantage of tSPL is the ability to electrically control the temperature and applied force of the tip, which can produce smooth topographical surfaces that are unattainable with conventional nanofabrication techniques. Recent investigations have exploited these surfaces to generate potential landscapes for enhanced control of photons, electrons, excitons, and nanoparticles, demonstrating a broad rangeof experimental possibilities. This paper outlines the principles, procedures, and limitations of tSPL for generating smooth potentials and discusses the prospective impact in photonics, electronics, and nanomaterials science.
... This evolution can be attributed to its precise spatial positioning and exceptional force measurement capabilities [12]. A wide spectrum of applications has been reported, encompassing local oxidation [13], deposition [14], cutting [15], milling [16] and other nanoscale lithography processes [17,18]. ...
Article
Full-text available
The atomic force microscope (AFM) possesses a unique capability for three-dimensional, high-resolution imaging down to the atomic level. It operates without the needs of additional requirements on sample material and environment, making it highly valuable for surface measurements. Recent advancements have further transformed AFM into a precision machining tool, thanks to its exceptional force measurement capability and positioning precision. High-speed AFM (HS-AFM) is a specialized branch of AFM that inherits the advantages of high spatial resolution of typical AFM but with significantly improved time resolution down to the sub-second level. In this article, instead of delving into extensive research progress enabled by HS-AFM in the broad fields of biology, biophysics, and materials science, we narrow our focus to the specific applications in the domain of ultra-precision surface machining and measurement. To the best of the authors’ knowledge, a comprehensive and systematic summary of the contributions that HS-AFM brings to this field is still lacking. This gap could potentially result in an underappreciation of its revolutionary capabilities. In light of this, we start from an overview of the primary operating modes of AFM, followed by a detailed analysis of the challenges that impose limitations on operational speed. Building upon these insights, we summarize solutions that enable high-speed operation in AFM. Furthermore, we explore a range of applications where HS-AFM has demonstrated its transformative capabilities. These include tip-based lithography (TBL), high-throughput metrology, and in-line inspection of nanofabrication processes. Lastly, this article discusses future research directions in HS-AFM, with a dedicated focus on propelling it beyond the boundaries of the laboratory and facilitating its widespread adoption in real-world applications.
... Although this work is a simulation-based study, we have introduced the feasibility of fabricating the Case 2 structure. The fabrication process involves a combination of standard lift-off photolithography and electron beam metal deposition [73,74]. The process consists of several steps. ...
Article
Full-text available
In this paper, we present a simple design of a sensing scheme based on a plasmonic metamaterial absorber operating at THz frequencies. The unit cell of the designed structure consists of a gold (Au) cross-bar-patch structure, and a polyimide dielectric spacing layer located on an Au grounded plane. Simulations are carried out using the finite element method and subsequently validated through the calculation using the interference model. We discuss optimized designs that enable the achievement of five resonance modes and high sensitivity. The mechanism of absorptance spectrum is studied, revealing that the coupling effect between the Au cross bars of the metasurface and the sandwiched Au ground surface, separated by a polyimide dielectric spacer, gives rise to the five absorptance modes. The analysis of localized surface plasmon resonance modes are conducted through examination of electric field distributions and surface current density streamlines. Furthermore, the impact of various geometry parameters of the top Au crossbar layer on the resonance frequencies is explored. This work makes a significant contribution to the design of a straightforward plasmonic metamaterial absorber based on a metasurface, which exhibits five distinct absorption bands ranging from 0.4 to 3.2 THz. The calculated refractive index sensitivity and the figure of merit (S (THz/RIU), FOM (RIU−1)) for five resonance frequency modes are (2.00, 1.67), (14.00, 140.00), (14.00, 28.00) (14.00, 11.67), and (12.00, 10.00), respectively. The designed plasmonic device offers valuable insights for future developments in metamaterial absorber-based devices, particularly in the fields of THz nanophotonic applications.