Fig 6 - uploaded by Hameedah Sultan
Content may be subject to copyright.
Modeling technique of HotSpot

Modeling technique of HotSpot

Source publication
Article
Full-text available
Thermal modeling and simulation have become imperative in recent years owing to the increased power density of high performance microprocessors. Temperature is a first-order design criteria, and hence special consideration has to be given to it in every stage of the design process. If not properly accounted for, temperature can have disastrous effe...

Context in source publication

Context 1
... convection resistance is taken to be a constant value of 0.8 K/W . HotSpot (see Figure 6) also has a vertical thermal capacitance between each node and the ground. This is calculated using Equation 18. ...

Similar publications

Article
Full-text available
Scalable quantum technologies such as quantum computers will require very large numbers of quantum devices to be characterised and tuned. As the number of devices on chip increases, this task becomes ever more time-consuming, and will be intractable on a large scale without efficient automation. We present measurements on a quantum dot device perfo...
Article
Full-text available
Memristor devices are generally suitable for incorporation in neuromorphic systems as synapses because they can be integrated into crossbar array circuits with high area efficiency. In the case of a two-dimensional (2D) crossbar array, however, the size of the array is proportional to the neural network’s depth and the number of its input and outpu...
Article
Full-text available
The machine learning has been widely used in intelligent data mining. The high-computational complexity of machine learning and huge data volume present challenges to computing platforms. Graphics processor unit (GPU) provides powerful computing support for machine learning but shows different performances under different computing scales and/or di...
Article
Full-text available
With the development of the Internet of Things, smart devices are widely used. Hardware security is one key issue in the security of the Internet of Things. As the core component of the hardware, the integrated circuit must be taken seriously with its security. The pre-silicon detection methods do not require gold chips, are not affected by process...

Citations

... Different workloads activate different gates of the PE, producing different power outputs. Frequency and workload scale linearly, voltage and temperature have a non-linear relationship [34], [35]. Differently from power consumption, the temperature T i of each component is a state of the system evolving in time subjected to P i (t) and nearby temperatures of neighboring PEs [4], [1]. Figure 3 shows the architecture we consider for deriving the mathematical description of the heat dissipation dynamic system. ...
... An approximated model of the aluminum heat sink and the PCB is presented in Section III-A as an extension to the model of the cores and the heat spreader since (i) the time-constants for the heat sink and the PCB are three to four order of magnitude slower than the faster thermal time constant of the Silicon [36] making it less relevant from the controller point of view, (ii) the heat sink thermal models is highly variable, depending on its shape, characteristics, and the variable airflow of the fans, and (iii) the PCB is can not be modeled and the lower surface of the cores can be considered adiabatic [4], [35]. Thus, modeling them with the same precision as the cores will introduce unnecessary complexity in the thermal model. ...
... Different models can be used for h i without significant modifications to the proposed HPC processor model. The power model (6) employed in this work is the most commonly used one [35]. ...
Preprint
The race towards performance increase and computing power has led to chips with heterogeneous and complex designs, integrating an ever-growing number of cores on the same monolithic chip or chiplet silicon die. Higher integration density, compounded with the slowdown of technology-driven power reduction, implies that power and thermal management become increasingly relevant. Unfortunately, existing research lacks a detailed analysis and modeling of thermal, power, and electrical coupling effects and how they have to be jointly considered to perform dynamic control of complex and heterogeneous Multi-Processor System on Chips (MPSoCs). To close the gap, in this work, we first provide a detailed thermal and power model targeting a modern High Performance Computing (HPC) MPSoC. We consider real-world coupling effects such as actuators' non-idealities and the exponential relation between the dissipated power, the temperature state, and the voltage level in a single processing element. We analyze how these factors affect the control algorithm behavior and the type of challenges that they pose. Based on the analysis, we propose a thermal capping strategy inspired by Fuzzy control theory to replace the state-of-the-art PID controller, as well as a root-finding iterative method to optimally choose the shared voltage value among cores grouped in the same voltage domain. We evaluate the proposed controller with model-in-the-loop and hardware-in-the-loop co-simulations. We show an improvement over state-of-the-art methods of up to 5x the maximum exceeded temperature while providing an average of 3.56% faster application execution runtime across all the evaluation scenarios.
... Nowadays, many thermal simulation algorithms have been proposed [2]. Among them, numerical methods, encompassing finite difference methods (FDM), finite volume methods (FVM), and finite element methods (FEM), receive popularity for their high accuracy and applicability to various scenarios. ...
Conference Paper
Full-text available
Thermal simulation plays a fundamental role in the thermal design of integrated circuits, especially 3D ICs. Current simulators require significant runtime for high-resolution simulation, and dismiss the complex nonlinear thermal effects, such as nonlinear thermal conductivity and leakage power. To address these issues, we propose ATSim3D, a thermal simulator for simulating the steady-state temperature profile of nonlinear and heterogeneous 3D IC systems. We utilize the global-local approach, combining a compact thermal model at the global level, and a finite volume method at the local level. We tackle the nonlinear effects with Kirchhoff transformation and iteration. ATSim3D enables local-level parallelization that helps achieve an average speedup of 40× compared to COMSOL, with a relative error <3% and a state-of-the-art resolution of 4096 × 4096, holding promise for enhancing thermal-aware design in 3D ICs.
... The Green's function is a spatial impulse response of the chip, and the thermal solution is constructed by superposition of the impulse responses to the point sources at different locations. It is thus inherently difficult to include boundary conditions (BCs) in thermal simulation of a finite domain [29], [30] or to use Green's function in situations where the power source is close to the edge of the chip [31]. It is also difficult to apply the conventional approach to transient thermal simulation [29], [32]. ...
... It is thus inherently difficult to include boundary conditions (BCs) in thermal simulation of a finite domain [29], [30] or to use Green's function in situations where the power source is close to the edge of the chip [31]. It is also difficult to apply the conventional approach to transient thermal simulation [29], [32]. The method is however significantly more efficient than the FEM, FVM or FDM because it only considers a single layer where the power sources are generated. ...
Article
Full-text available
A thermal simulation methodology derived from the proper orthogonal decomposition (POD) and the Galerkin projection (GP), hereafter referred to as PODTherm-GP, is evaluated in terms of its efficiency and accuracy in a multi-core CPU. The GP projects the heat transfer equation onto a mathematical space whose basis functions are generated from thermal data enabled by the POD learning algorithm. The thermal solution data are collected from FEniCS using the finite element method (FEM) accounting for appropriate parametric variations. The GP incorporates physical principles of heat transfer in the methodology to reach high accuracy and efficiency. The dynamic power map for the CPU in FEM thermal simulation is generated from gem5 and McPACT, together with the SPLASH-2 benchmarks as the simulation workload. It is shown that PODTherm-GP offers an accurate thermal prediction of the CPU with a resolution as fine as the FEM. It is also demonstrated that PODTherm-GP is capable of predicting the dynamic thermal profile of the chip with a good accuracy beyond the training conditions. Additionally, the approach offers a reduction in degrees of freedom by more than 5 orders of magnitude and a speedup of 4 orders, compared to the FEM.
... where P leak0 is the leakage power at ambient temperature after considering the impact of variability. For improved accuracy, we can use a piece-wise linear leakage model, which provides an accuracy of over 99% [14]. ...
Preprint
Despite temperature rise being a first-order design constraint, traditional thermal estimation techniques have severe limitations in modeling critical aspects affecting the temperature in modern-day chips. Existing thermal modeling techniques often ignore the effects of parameter variation, which can lead to significant errors. Such methods also ignore the dependence of conductivity on temperature and its variation. Leakage power is also incorporated inadequately by state-of-the-art techniques. Thermal modeling is a process that has to be repeated at least thousands of times in the design cycle, and hence speed is of utmost importance. To overcome these limitations, we propose VarSim, an ultrafast thermal simulator based on Green's functions. Green's functions have been shown to be faster than the traditional finite difference and finite element-based approaches but have rarely been employed in thermal modeling. Hence we propose a new Green's function-based method to capture the effects of leakage power as well as process variation analytically. We provide a closed-form solution for the Green's function considering the effects of variation on the process, temperature, and thermal conductivity. In addition, we propose a novel way of dealing with the anisotropicity introduced by process variation by splitting the Green's functions into shift-variant and shift-invariant components. Since our solutions are analytical expressions, we were able to obtain speedups that were several orders of magnitude over and above state-of-the-art proposals with a mean absolute error limited to 4% for a wide range of test cases. Furthermore, our method accurately captures the steady-state as well as the transient variation in temperature.
... The Green's function is a spatial impulse response of the chip, and the thermal solution is constructed by superposition of the impulse responses to the point sources at different locations. It is thus inherently difficult to include boundary conditions (BCs) in thermal simulation of a finite domain [29], [30] or to use Green's function in situations where the power source is close to the edge of the chip [31]. It is also difficult to apply the conventional approach to transient thermal simulation [29], [32]. ...
... It is thus inherently difficult to include boundary conditions (BCs) in thermal simulation of a finite domain [29], [30] or to use Green's function in situations where the power source is close to the edge of the chip [31]. It is also difficult to apply the conventional approach to transient thermal simulation [29], [32]. The method is however significantly more efficient than the FEM, FVM or FDM because it only considers a single layer where the power sources are generated. ...
Preprint
Full-text available
A thermal simulation methodology derived from the proper orthogonal decomposition (POD) and the Galerkin projection (GP), hereafter referred to as PODTherm-GP, is evaluated in terms of its efficiency and accuracy in a multi-core CPU. The GP projects the heat transfer equation onto a mathematical space whose basis functions are generated from thermal data enabled by the POD learning algorithm. The thermal solution data are collected from FEniCS using the finite element method (FEM) accounting for appropriate parametric variations. The GP incorporates physical principles of heat transfer in the methodology to reach high accuracy and efficiency. The dynamic power map for the CPU in FEM thermal simulation is generated from gem5 and McPACT, together with the SPLASH-2 benchmarks as the simulation workload. It is shown that PODTherm-GP offers an accurate thermal prediction of the CPU with a resolution as fine as the FEM. It is also demonstrated that PODTherm-GP is capable of predicting the dynamic thermal profile of the chip with a good accuracy beyond the training conditions. Additionally, the approach offers a reduction in degrees of freedom by more than 5 orders of magnitude and a speedup of 4 orders, compared to the FEM.
... The excessive heat can affect the normal performance, reliability, and lifespan of semiconductor chips. Due to the multiple stacked active silicon layers, 3D IC design suffers from much higher power density [1]- [3]. Meanwhile, the increased complexity of 3D chips introduces extra design configurations and system parameters and hence prolongs the design cycle. ...
... Discretization-based PDE solvers, such as finite-element and finite-difference methods, have been widely used for 3D chip thermal analysis. The finite-element method (FEM), though computationally expensive, provides the best accuracy and flexibility [3], and is mostly used in commercial solvers such as Celsius, ANSYS, and COMSOL. The finite-difference methods (FDM) are simpler to implement and are widely used in open-source solvers [4]- [6]. ...
Preprint
Full-text available
Thermal issue is a major concern in 3D integrated circuit (IC) design. Thermal optimization of 3D IC often requires massive expensive PDE simulations. Neural network-based thermal prediction models can perform real-time prediction for many unseen new designs. However, existing works either solve 2D temperature fields only or do not generalize well to new designs with unseen design configurations (e.g., heat sources and boundary conditions). In this paper, for the first time, we propose DeepOHeat, a physics-aware operator learning framework to predict the temperature field of a family of heat equations with multiple parametric or non-parametric design configurations. This framework learns a functional map from the function space of multiple key PDE configurations (e.g., boundary conditions, power maps, heat transfer coefficients) to the function space of the corresponding solution (i.e., temperature fields), enabling fast thermal analysis and optimization by changing key design configurations (rather than just some parameters). We test DeepOHeat on some industrial design cases and compare it against Celsius 3D from Cadence Design Systems. Our results show that, for the unseen testing cases, a well-trained DeepOHeat can produce accurate results with 1000× to 300000× speedup.
... Recently, these characteristics of DIS, often left without due attention, due to the growing interest in "green" technologies and energy saving [33,34] are included among the most important for design and testing. Moreover, the emphasis is primarily on dynamic modes and transient states/processes of DIS components, including switching [35,36], [37], which is typical for both structural [18,19] and functional [20,21] design and testing methods. ...
Article
Full-text available
Early testing of the reliability of functioning, performed before the occurrence of critical emergency situations, plays an important role in the theory and practice of monitoring and diagnosing various technical systems, including computer distributed information systems. Many checking and diagnostic methods directly or indirectly use the energy characteristics of systems, that are directly related to the work they execute, that is, their performance. The proposed elements of the technology of behavioral energy-consumption testing are based on the corresponding models and methods, supplemented by the registration and analysis of inertial temperature and operational volt/ampere characteristics of the test behavior of systems, at the model level represented by extended energy-consumption Petri nets. The elements of behavioral testing technology, proposed in this work, are distinguished by an additional set of calculated and empirical boundary temperature and volt/ampere characteristics of both systems and their components. These characteristics represent states/vertexes, positions/transitions, fragments and scenarios of operation, that are critical in terms of energy consumption, which gives grounds for their monitoring. A feature of these elements of the technology is also the possibility of determining and using natural identifying properties of energy characteristics in behavioral testing, which allows them to be used in check and recognition experiments for the reliability of functioning, both independently and in conjunction with behavioral analysis. The use of additional analysis of energy consumption by temperature and volt/ampere characteristics, as part of complex testing technologies and automatic systems of technical diagnostic, based on them, makes it possible to increase the efficiency, completeness and flexibility of behavioral online and offline testing for computer distributed information systems.
... To enhance the efficiency of chip-level thermal simulations, another strategy is to develop a spatial impulse response (or the Green's function) [13]- [15] of the selected chip. The Green's function is usually pre-trained by the thermal solution derived from DNS in response to a unit point heat source at the center of the chip. ...
... The spatial temperature solution is then obtained by a convolution of the pre-trained Green's function with the power profile. However, for the Green's function method, it is difficult to apply boundary conditions (BCs) [13], [14] or to perform transient thermal simulation [13], [15]. In addition, the training of the Green's function using DNS of the entire chip is extremely time consuming [13], especially if a high resolution is needed to capture the localized hot spots. ...
... The spatial temperature solution is then obtained by a convolution of the pre-trained Green's function with the power profile. However, for the Green's function method, it is difficult to apply boundary conditions (BCs) [13], [14] or to perform transient thermal simulation [13], [15]. In addition, the training of the Green's function using DNS of the entire chip is extremely time consuming [13], especially if a high resolution is needed to capture the localized hot spots. ...
... Some specialists are already working on a material whose texture forces cooling water to flow upward to cool computer hardware instead of fans, which tend to collect dust and clog vents that can disable cooling. Super-capillary could provide a better way to cool computer hardware and completely clear the big hurdle for a new generation of high-energy microprocessors [15]. ...
Article
Full-text available
With the development of human society, people’s level of science and technology is also improving. In the process of continuous exploration, people often find some new unknown areas. Although we are very unfamiliar with these uncharted areas, they can have a significant impact on our lives, such as the field of microfluidics. It can perform small tasks at the micro and nano level, reducing our resource consumption, reducing some of our risks, but increasing our safety and new application tools. The exploration and development of the field of microscopic fluids can bring great help to our lives, especially in medicine, and significantly increase our surgical success rate. This article is summarized by analyzing and comparing the academic research of many experts. In this era, the role of the microfluid field is still significant.
... Implementing the proposed deterministic turbo, or one inspired from it, in a microarchitecture simulator should be relatively straightforward. Thermal step responses can be obtained with open-source software tools for modeling processor power and temperature [16,17]. It should be emphasized that, to simulate the effect of deterministic turbo on clock frequency, one need not simulate true temperature but only the thermal model used by the turbo, including the model's inaccuracies.. ...
Article
Modern microprocessors feature turbo mechanisms that adjust the clock frequency dynamically so as to maximize processor performance under power and temperature limits. However, the documentation for commercial chips rarely provides more than a superficial description of how turbo works. This paper highlights certains aspects of turbo that are not well known outside the industry and that distinguish it from dynamic thermal management. A plausible open-source turbo is proposed and described, extrapolating from the scarse and sparse information that has been disclosed so far.