Kinetic Monte Carlo simulation on lattice diagram. For each reaction site, an identifiable number is allocated corresponding to a reaction if the selection condition of a certain reaction path is satisfied in Equation (6).

Kinetic Monte Carlo simulation on lattice diagram. For each reaction site, an identifiable number is allocated corresponding to a reaction if the selection condition of a certain reaction path is satisfied in Equation (6).

Source publication
Article
Full-text available
Area-selective atomic layer deposition (ASALD) as a bottom-up nanopatterning technique has gained recognition for its ability to address misalignment issues in semiconductor manufacturing. This in silico study investigates process operation conditions for ASALD of SiO2/Al2O3 and reactor optimization by using multiscale computational fluid dynamics...

Contexts in source publication

Context 1
... the random selection of the reaction using the first random number, the duration of the reaction progression is then evaluated through a secondary random number that is independent of the first random number. A summary of the procedures from [55] are described below and illustrated in Figure 4: ...
Context 2
... multiscale process times to reach full surface coverage were longer than observed mesoscopic model process times conducted from prior work [34]. Figure 14 illustrates the contours of species mole fractions simulated with a constant rotation speed of 0.4 rad/s and a mole fraction of 0.3 for Hacac, BDEAS, and ozone. Due to the fixed rotation speed imposed to the three wafers, the equal areas of each wafer are exposed to each reagent as shown in Figure 14a. ...
Context 3
... 14 illustrates the contours of species mole fractions simulated with a constant rotation speed of 0.4 rad/s and a mole fraction of 0.3 for Hacac, BDEAS, and ozone. Due to the fixed rotation speed imposed to the three wafers, the equal areas of each wafer are exposed to each reagent as shown in Figure 14a. However, the reaction progression for each reaction, which is inspected by measuring the generation of byproducts from the wafer surface, is different as revealed in Figure 14b-d. ...
Context 4
... to the fixed rotation speed imposed to the three wafers, the equal areas of each wafer are exposed to each reagent as shown in Figure 14a. However, the reaction progression for each reaction, which is inspected by measuring the generation of byproducts from the wafer surface, is different as revealed in Figure 14b-d. As discussed above, BDEAS adsorption requires higher mole fraction and lower rotation speeds to achieve complete coverage compared with Hacac and ozone adsorption. ...
Context 5
... discussed above, BDEAS adsorption requires higher mole fraction and lower rotation speeds to achieve complete coverage compared with Hacac and ozone adsorption. Despite the fact that more than half of the wafer area is in the BDEAS-enriched reaction zone (in Figure 14c), the byproduct, DEA, is only observed in a small area, which is the area that first encountered the reagent due to the BDEAS adsorption mechanism, and the steric effects discussed in Section 2.2. Meanwhile, H 2 O produced from Hacac adsorption and O 2 produced from O 3 adsorption are being generated on the region closer to the boundary between the reagent and the inert gas. ...

Citations

... The BKL implementation in prior work (Yun et al., 2023) was conducted in the Python programming language using a grid-dependent procedure where the formulation, which is summarized in Algorithm 1, performs an iterative and ordered BKL procedure for each element in an × grid. The result after each kMC execution produces grid data where the configuration or state of each element of the grid is discernible. ...
... For example, DeVita et al. (2005) simulated epitaxial growth using a kMC grid to study the surface morphology after each epitaxial cycle but observed computational constraints that limited the grid sizing to an × grid. In a prior work (Yun et al., 2023;Tom et al., 2023b), the kMC algorithm was implemented through an external Python program and conjoined with the macroscopic computational fluid dynamics simulation in Ansys Fluent through a Linux Bash script. ...
... Additionally, Dobkin and Zuraw (2003) and Elers et al. (2006) suggested using showerhead reactors to improve the uniformity of fluid flow in the radial direction. By considering the challenges attributed to low product throughput, Yun et al. (2023) proposed a spatial reactor configuration where the reagent is delivered perpendicularly to the substrate in a continuous feeding mechanism for atomic layer etching and area-selective atomic layer deposition processes. While the aforementioned reactor models have effectively yielded valuable results in improving product quality and yield, this work considers the impact of steric collisions generated from bulky molecular species including Hacac and BDEAS, which introduces challenges associated with surface uniformity. ...
... As mentioned above, ALD has been applied to the modification of QD-based devices across different scales; thus, multi-scale research and manufacturing methods are urgently required [77]. Thus, as presented in figure 6, first-principles calculations, nucleation dynamics [78], Knudsen flow dynamics, and computational fluid dynamics can be combined to achieve multi-scale simulations. ...
Article
Full-text available
Driven by the growing demand for next-generation displays, the evolution of advanced luminescent materials with exceptional photoelectric properties, such as quantum dots and phosphors are accelerating rapidly. Nevertheless, the primary challenge confronting the practical applications of these luminescent materials lie in meeting high durability requirements. This perspective delves into atomic layer deposition (ALD) developed for stabilizing luminescent materials, which is employed in the fabrication of flexible display devices through material modification, surface and interface engineering, encapsulation, cross-scale manufacturing, and simulations. To satisfy low-cost, high-efficiency, and high-reliability manufacturing requirements, equipments such as spatial ALD and fluidized ALD have been developed. The strategic approach establishes the groundwork for the development of ultra-stable luminescent materials, highly efficient LEDs, and thin-film packaging. This significantly enhances their potential applicability in LED illumination and backlight displays, marking a notable advancement in the display industry.
... Recently, research has been conducted on achieving film uniformity for AS-ALD methods without requiring post-processing steps such as ALE to ensure self-alignment during the transistor stacking procedure. AS-ALD has been previously experimented through in silico, atomistic-mesoscopic and multiscale computational fluid dynamics (CFD) modeling to study the spatiotemporal behavior of the process in a spatial, rotary reactor configuration [20][21][22]. Although AS-ALD is characterized by a high accuracy deposition rate, such a process requires regulatory monitoring to ensure that quality conformance is maintained, thereby mitigating the risk of semiconductor performance degradation and nonconformance to product criteria. ...
... Thermophysical property data not found in literature references are calculated through first principles quantum mechanics computations using density functional theory (DFT), nudged elastic band (NEB) methods, and quasi-harmonic approximation (QHA) calculations through the open-source software, Quantum ESPRESSO. The reaction rate constant parameters and thermophysical property data are detailed by Yun et al. (2022aYun et al. ( , 2023 [20,22]. ...
... Thermophysical property data not found in literature references are calculated through first principles quantum mechanics computations using density functional theory (DFT), nudged elastic band (NEB) methods, and quasi-harmonic approximation (QHA) calculations through the open-source software, Quantum ESPRESSO. The reaction rate constant parameters and thermophysical property data are detailed by Yun et al. (2022aYun et al. ( , 2023 [20,22]. ...
Article
Full-text available
Semiconducting materials require stringent design specifications that make their fabrication more difficult and prone to flaws that are costly and damaging to their computing and electrical properties. Area-selective atomic layer deposition is a process that addresses concerns associated with design imperfections but requires substantial monitoring to ensure that process regulation is maintained. This work proposes a run-to-run controller with an exponentially weighted moving average method for an area-selective atomic layer deposition rotary reactor by adjusting the rotation speed of the substrate to control the growth per cycle of the wafer, which is calculated through a multiscale model with machine learning integration for pressure field generation and kinetic Monte Carlo simulations to increase computational efficiency. Results indicate that the run-to-run controller was able to bring the process to the setpoint when subjected to moderate pressure and kinetic shift disturbances.
... For instance, prior works (Yun et al., 2022b,c) have focused on multiscale modeling, an intricate simulation configuration that conjoins microscopic, mesoscopic, and macroscopic modeling (Maroudas, 2000;Christofides et al., 2009), of various reactor designs, stationary and spatial, using different reagent delivery systems (showerhead, plate, crossflow, and perpendicular flow) for ALE processes. Most recently, Yun et al. (2023) conducted multiscale computational fluid dynamics (CFD) modeling to study the spatiotemporal behavior of reagent distribution in a spatial-type rotary reactor for an AS-ALD process. Several works have also examined the optimization of reactor design for spatial reactors for ALD processes (Pan et al., 2016;Cong et al., 2020;Pan, 2021) and the optimization of reactor operating conditions (Deng et al., 2016a,b) using CFD. ...
... From an economics perspective, the Case 4 reactor configuration demonstrated that minimal reagent loss is observable with the spatially homogeneous distribution of reagent and the reduction in concentration to limit surface adsorption kinetics for preventing steric hindrance generated by screening effects. Thus, the reactor model illustrates that discontinuous feeding of reagent provides sufficient surface exposure within processing times analogous to spatial reactor configurations studied by Yun et al. (2022bYun et al. ( , 2023. A further use of the modeling framework developed in this work would be to generate reactor variable profiles for a variety of operating conditions that can be used to augment experimental data and then use the overall data set to implement data-driven subspace identification for batch processes (Rashid and Mhaskar, 2023;Chandrasekar et al., 2023) to model and improve thin film (product) quality at the end of the batch. ...
... While new ALD variants and material chemistries are developed, consistency and reproducibility need to be addressed [7,8]. The JMP tool is an industry-standard for DoE. ...
Conference Paper
Full-text available
Atomic Layer Deposition (ALD) synthesis process is being heavily researched for it conformality, high aspect ratio with thickness control, selective area deposition versatility , variety of low temperature oxide, nitride, and transition metal dichalcogenides (TMDC)precursors for multitude of applications. Repeatability and reproducibility are essential along with large scale deposition with high throughput from commercialization perspective of ALD thin films from new precursors. JMP and Design of Experiment (DoE) are industrially practiced tools to study and reduce variations in the processes. Reducing variations improves repeatability. This research demonstrates the application of DoE with JMP to study variation of ALD synthesis of Al2O3 and improve predictability. Introduction: Atomic Layer Deposition (ALD) is the variant of chemical vapor deposition where the reactants are supplied sequentially as a timed pulse. The pulse of reactant precursor is usually separated by purging step of inert gas pulse or having intermittent purge time. The precursors introduced to the ALD chamber chemisorb in a self-limiting manner. Chemisorption of precursor is reactive site dependent and thus provides very precise thickness control over intricate surfaces with high conformality and aspect ratio [1]. These aspects of atomically controlled thickness due to self-limiting reaction mechanisms with high conformality and ease of operation has made ALD the most prospective deposition technique for semiconductor and synthetic biological applications. The research gap that there is a lack of emphasis on the repeatability studies related to the new synthesis processes like ALD has been identified. There is need of research and evaluation of ALD process using DoE to establish repeatability and reproducibility of the new 2D thin films being synthesized. One factor at a time (OFAT) experimentation is still the highly relied strategy during research for understanding the cause-effect relationship [2]. The serious drawback of OFAT experimentation strategy lies in the fact that, engineering processes invariably interact. Significant research efforts are devoted to understanding the underlying phenomenological aspects using density functional theory (DFT) and molecular dynamics (MD) simulations [3,4]. At nanoscale, the parametric interactions have significant effect and thus necessitates the usage of experimentation strategy like DoE. Gauge repeat-ability and reproducibility, Monte Carlo Simulations when integrated with DoE provide a dependable means to evaluated and predict performance of the processes. The simple but effective DoE analysis may aid the DFT/MD results to make the new processes and product development more predictive and sustainable. Thus, this research attempts to acquaint the reader with the ALD synthesis of Al2O3 thin films. Further the effect of input
Article
Context Area-selective atomic layer deposition (AS-ALD) is a thin film deposition technique developed using conventional ALD by considering the surface chemical nature of the substrate. Selecting appropriate precursors is a critical step in developing an efficient AS-ALD process with high deposition selectivity. However, the current efficiency of research on viable AS-ALD precursors is limited because of the absence of theoretical design rules for precursor chemical structures. In this study, our objective is to propose molecular design principle for precursors for AS-ALD, particularly focusing on achieving high deposition selectivity of oxides on diverse substrates. Current preliminary results suggest that ML-based prediction model may provide a fundamental molecular-level understanding of the reactivity of metal oxide precursors, that can be useful for efficient selection of suitable precursors for AS-ALD. Methods We employ density functional theory (DFT) calculations and machine learning (ML) techniques to analyze the relationship between the structure and the surface reactivity of the precursor. Considering DFT calculation data (M06L/def2-tzvp, Gaussian 09 and Orca 4.0) and information on precursor structures, artificial neural networks (ANN, neuralnet, R) are applied to identify critical descriptors of the AS-ALD process. Furthermore, we utilize this ANN model to predict precursor reactivity according to surface terminations.