Figure 6 - uploaded by Terrence E Zavecz
Content may be subject to copyright.
Intensity profile of small haze deposition on top of chrome feature  

Intensity profile of small haze deposition on top of chrome feature  

Source publication
Article
Full-text available
1. ABSTRACT Reticle Haze results from the deposition of a chemical residue of a reaction that is initiated by Deep Ultra Violet (DUV) or higher frequency actinic radiation. Haze can form on the backside of the reticle, on the chrome side and on the pellicle itself 1 . The most commonly reported effect of haze is a gradual loss in transmission of th...

Similar publications

Article
Full-text available
Background: Profilin-1 (PFN1) regulates the dynamic balance of actin and plays an important role in cell functions as a hub protein in signaling molecule interaction networks. Dysregulation of PFN1 is related to pathologic kidney diseases. Diabetic nephropathy (DN) was recently reported as an inflammatory disorder, however, the molecular mechanism...

Citations

... With haze being the most acute problem in the industry, where a detection of printable contamination defects on the wafer is already far too late and is not acceptable, it was predominantly direct reticle inspection which was used to catch the defects. Capacity limitations of the reticle inspection tools, rapid contamination growth after first detections and on the other side alternative methods using standard CD metrology being developed and proving effectiveness in earlier haze detection [1] changed standard reticle monitoring schemes. Now it is across field line variation (ACLV) measurements which are also included into the reticle inspection strategy. ...
Article
Stability of across field line variation (ACLV) is crucial in advanced semiconductor manufacturing. Degraded signatures cause deterioration of transistor parameters and yield loss. After having contained haze issues, the IC industry is now confronting a new reticle degradation mechanism. It has been reported, that targeting energy is changing with the number of exposures and later on a rapid increase of ACLV is observed. Although effective monitoring and correction methods have been introduced, the root cause of this type of reticle degradation has not been fully elucidated. Our AIMSTM, SEM and optical CD measurements on reticle demonstrated consistency with wafer CD measurements and clearly show that pattern distortions on wafers originate from the front side of the reticle. The results indicate the transmission loss to be gradually distributed over the reticle surface causing CD variations. In the most acute case, changes in the center area could be detected by the reticle inspection tool. Dependency of degradation rate on percentage of the clear field on the reticle and reticle type was observed. Finally, using a variety of analytical techniques including AFM, ion and gas chromatography, TOF-SIMS, Auger and TEM we have been able to identify the root cause of this problem. Our experimental results do show that the structural degradation of the absorber film is the primary cause for CD change. Possible mechanisms behind this effect are discussed.
Article
Photomask contamination inspections, whether performed at maskshops as an outgoing inspection or at wafer fabs for incoming shipping and handling or progressive defect monitoring, have been performed by KLA-Tencor STARlight systems for a number of design nodes. STARlight has evolved since it first appeared on the 3xx generation of KLA-Tencor mask inspection tools. It was improved with the TeraStar (also known as SLF) based tools with the SL1 algorithm. SL2 first appeared on the TeraScan systems (also known as 5xx) and has been widely adopted in both mask shops and wafer fabs. Design rules continue to advance as do inspection challenges. Advances in computer processing power have enabled more complex and powerful algorithms to be developed and applied to the STARlight technology. The current generation of STARlight, which is known as SL2+, implements improved modeling fidelity as well as a completely new paradigm to the existing STARlight technology known as HiRes5, or simply "H5". H5 is integrated seamlessly within SL2+ and provides die-to-die-like performance in both transmitted and reflected light, in addition to the STARlight detection, in unit time. It achieves this by automatically identifying repeating structures in both X and Y directions and applying image alignment and difference threshold. A leading mask shop partnered with KLA-Tencor in order to evaluate SL2+ at its facility. SL2+ demonstrated a high level of sensitivity on all test reticles, with good inspectability on advanced production reticles. High sensitivity settings were used for 45 nm HP and smaller design rule masks and low false detections were achieved. H5 provided additional sensitivity on production plates, demonstrating the ability to extend the use of SL2+ to cover 32 nm DR plate inspections. This paper reports the findings and results of this evaluation.
Article
In the ever-changing semiconductor industry, wafer fabs and mask shops alike are adding low cost of ownership (CoO) to the list of requirements for inspections tools. KLA-Tencor has developed and introduced STARlight2+ (SL2+) to satisfy this need. This new software algorithm is available on all TeraScanHR and TeraFab models. KLA-Tencor has cooperated with United Microelectronics Corporation (UMC) to demonstrate and improve SL2+, including its ability to lower CoO, on 65nm and below photomasks. These improvements are built on the rich history of STARlight. Over the years, STARlight has become one of the industry standards for reticle inspection. Like its predecessors, SL2+ uses only transmitted and reflected light images from a reticle to identify defects on the reticle. These images along with plate-specific information are then processed by SL2+ to generate reference images of how the patterns on the reticle should appear. These reference images are then compared with the initial optical images to identify the defects. The new and improved SL2+ generates more accurate reference images. These images reduce background noise and increase the usable sensitivity. With the results from controlled engineering tests, a fab or mask shop can then decide to inspect reticles at a given technology node with a large pixel; this is sometimes referred to as pixel migration. The larger pixel with SL2+ can then perform the inspections at similar sensitivity settings and higher throughput, thus lowering CoO.