Fig 1 - uploaded by Wei-tsu Tseng
Content may be subject to copyright.
Common PR and FM defects: (a) (left) slurry abrasive particles; (b) (center) organic residue; (c) (right) polish debris.

Common PR and FM defects: (a) (left) slurry abrasive particles; (b) (center) organic residue; (c) (right) polish debris.

Source publication
Article
Full-text available
Optimization of post Cu CMP cleaning performance can be accomplished through dilution ratio tuning and pad rinse of clean chemicals. Excessive chemical etching as well as megasonic power can induce high Cu roughness. Generation of hollow metal and Cu dendrite defects depends not only on the clean chemistry but also the queue time between plating an...

Contexts in source publication

Context 1
... Removing the polish residues (PR), foreign materials (FM), abrasive particles or any debris left on wafer surface as the result of polish. (Fig. 1) (2) Passivating the Cu surface long and effectively enough to inhibit time dependent Cu corrosion in form of hollow metal (HM) and Cu nodules or dendrites (DE). ( In addition, the CMP clean chemical should impose the minimum health hazards to human beings. For example, tetramethylammonium hydroxide (TMAH), which is an etchant and base ...
Context 2
... the end of polish, wafers are loaded with a considerable amount of PR and FM defects before they enter the cleaning module of the CMP tool. These PR and FM defects can include, but are not limited to slurry abrasives, organic residue, and polish debris (e.g., from wafer or pad) as shown in Fig. 1. As illustrated in Fig. 3, PR and FM defects are usually removed by an undercut and life-off mechanism in a chemical environment with built-in repulsive zeta-potential: In addition, upon contact with the clean chemical, the existing Cu oxide on wafer surface is usually replaced by a thin and dense complexion layer that passivates and ...
Context 3
... aforementioned post CMP queue time effect of HM defect formation is exemplified in Fig. 10. As observed, HM defects increase with queue time built-up after CMP. In addition, basic clean chemistry provides better protection against HM defects. Interestingly, the anneal-CMP queue time also plays certain role in HM defect formation as shown in Fig. 11. Apparently, aging effect can affect HM defect as well. This may be ...
Context 4
... aforementioned post CMP queue time effect of HM defect formation is exemplified in Fig. 10. As observed, HM defects increase with queue time built-up after CMP. In addition, basic clean chemistry provides better protection against HM defects. Interestingly, the anneal-CMP queue time also plays certain role in HM defect formation as shown in Fig. 11. Apparently, aging effect can affect HM defect as well. This may be attributed to the exposure of Cu surface to residual oxidizing ambient in the foup. Or, smaller, undetectable copper voids may be coalescing over time after anneal. Another possible mechanism is that the impurities (e.g., Cl, S…etc) embedded in Cu from prior processes ...
Context 5
... major type of corrosion defects is Cu out-growth or Cu dendrites (DE). Our previous work identifies the dendrite as CuO x particulates formed after certain queue time after CMP. 4 The density of DE defects depends significantly on the pitch of Cu patterns as demonstrated in Fig. 12. Anneal-CMP queue time (hr) HM defects (arb. unit) The pitch dependence depicted in Fig. 12 suggests the formation of DE defects is diffusion controlled, in which time and spacing both play a role. Cu ions as provided by the surface oxide film can migrate under the influence of potential difference across Cu wires as a result of charge ...
Context 6
... defects is Cu out-growth or Cu dendrites (DE). Our previous work identifies the dendrite as CuO x particulates formed after certain queue time after CMP. 4 The density of DE defects depends significantly on the pitch of Cu patterns as demonstrated in Fig. 12. Anneal-CMP queue time (hr) HM defects (arb. unit) The pitch dependence depicted in Fig. 12 suggests the formation of DE defects is diffusion controlled, in which time and spacing both play a role. Cu ions as provided by the surface oxide film can migrate under the influence of potential difference across Cu wires as a result of charge built-up during or after CMP. 5 As a consequence, fine pitch Cu wires induce stronger ...
Context 7
... on the mechanism above, it is only natural for DE defects to exhibit dependence on post CMP queue time as shown in Fig. 13. In addition, as we found out, dendrite defect is also a complex function of the lag time between plating and furnace anneal, 6 as displayed in Fig. 14. As shown in Fig. 14, a certain amount of lag time between plating and furnace anneal can help extend the post CMP queue time dendrite-free for up to 144 hours. The plating-anneal lag ...
Context 8
... on the mechanism above, it is only natural for DE defects to exhibit dependence on post CMP queue time as shown in Fig. 13. In addition, as we found out, dendrite defect is also a complex function of the lag time between plating and furnace anneal, 6 as displayed in Fig. 14. As shown in Fig. 14, a certain amount of lag time between plating and furnace anneal can help extend the post CMP queue time dendrite-free for up to 144 hours. The plating-anneal lag time dependence of DE implies that room temperature self- annealing of Cu during the lag time can alter the Cu microstructure to the extent that enhances ...
Context 9
... on the mechanism above, it is only natural for DE defects to exhibit dependence on post CMP queue time as shown in Fig. 13. In addition, as we found out, dendrite defect is also a complex function of the lag time between plating and furnace anneal, 6 as displayed in Fig. 14. As shown in Fig. 14, a certain amount of lag time between plating and furnace anneal can help extend the post CMP queue time dendrite-free for up to 144 hours. The plating-anneal lag time dependence of DE implies that room temperature self- annealing of Cu during the lag time can alter the Cu microstructure to the extent that enhances surface passivation ...
Context 10
... is an acidic chemical while Clean2 is a basic chemical. The results are displayed in Figs 15 (a) and (b). Lag time between plating and furnace anneal (hr) Fig. 15 (b): Carbon 1s peaks of the XPS spectra in Fig. 15 (a). ...
Context 11
... photoelectron spectroscopy (XPS) was utilized to analyze the Cu surface cleaned by various chemicals. In this case, XPS signals are collected from large Cu pads on 32nm wafers. Clean1 is an acidic chemical while Clean2 is a basic chemical. The results are displayed in Figs 15 (a) and (b). Lag time between plating and furnace anneal (hr) Fig. 15 (b): Carbon 1s peaks of the XPS spectra in Fig. 15 ...
Context 12
... to analyze the Cu surface cleaned by various chemicals. In this case, XPS signals are collected from large Cu pads on 32nm wafers. Clean1 is an acidic chemical while Clean2 is a basic chemical. The results are displayed in Figs 15 (a) and (b). Lag time between plating and furnace anneal (hr) Fig. 15 (b): Carbon 1s peaks of the XPS spectra in Fig. 15 ...
Context 13
... shown on Fig. 15 (a), the intensity of Cu is stronger with Clean2. The result suggests Clean2 process results in more copper to top surface. Compared with Clean1, Clean2 removes higher oxidized organics (e.g., O=C=O) from the copper surface as shown in Fig. 15 (b). The Cu surface treated with Clean3 exhibits lower O/Cu ratio than that with Clean2. This ...
Context 14
... shown on Fig. 15 (a), the intensity of Cu is stronger with Clean2. The result suggests Clean2 process results in more copper to top surface. Compared with Clean1, Clean2 removes higher oxidized organics (e.g., O=C=O) from the copper surface as shown in Fig. 15 (b). The Cu surface treated with Clean3 exhibits lower O/Cu ratio than that with Clean2. This implies less degree of surface oxide formation. ...
Context 15
... Fig. 17: Percentage carbon (% C) and oxygen-to-copper (O/Cu) ratio for Clean2 and Clean3, based on the integrated XPS spectra in Figs. 16 (a) and ...
Context 16
... Fig. 17: Percentage carbon (% C) and oxygen-to-copper (O/Cu) ratio for Clean2 and Clean3, based on the integrated XPS spectra in Figs. 16 (a) and ...
Context 17
... in dielectric constant (k shift). Such increase in dielectric constant can be the prelude to reliability failure such as time-dependent dielectric breakdown (TDDB). Quantox charge measurement is performed on blanket ULK wafers (k = 2.40 as cured) polished with barrier slurry and cleaned with 3 different CMP clean processes. The result is shown in Fig. 18. Clean1 process induced ~ 10% increase in dielectric constant while Clean2 and Clean3 are nearly free from any noticeable k shift. Compared with the XPS spectra in Figs 15, it is possible that the high carbon groups (e.g., O=C=O) or other charges have been left on the surface of the wafers treated with Clean1 to induce the shift in ...
Context 18
... post CMP defects on Cu surface weakens the interface between Cu and the subsequent cap layer and can lead to deterioration of Cu interconnect reliability such as electromigration (EM) and time dependent dielectric breakdown (TDDB). Even on wafers without detectable defects such as those dendrite-free Cu wires after extended queue time as shown on Fig. 14, there can be numerous invisible defects such as trace metallic ions and residual charge built up on the ILD between Cu wires. These "invisible" defects can result in early TDDB failure. Therefore, the ultimate assessment of a CMP cleaning process will require passing reliability ...
Context 19
... electromigration lifetime for 22nm wafers treated with 3 different cleaning processes is plotted in Fig. 19. All 3 clean processes, A, B, and C are basic. The most noticeable observation is that the CMP-to-cap queue time plays a dominant role in EM lifetime. Regardless what clean process is adopted, EM lifetime decays remarkably with queue time increases from 30 min to 48 hrs. In addition, concentration of the clean chemical can modulate EM ...
Context 20
... with the time-dependent corrosion defects such as DE and HM, EM lifetime is obviously much more sensitive to increasing queue time. For example, with proper lag time control and an optimized CMP cleaning process, wafers can be held dendrite-free for extended period of time (up to 144 hrs) as shown in Fig. 14. However, from reliability perspectives, such long queue time should be avoided. The result above suggests the existence of defects not currently detected, such as ions and charges on the wafer surface. These and other and potential contaminants in the ambient such as oxygen will become increasingly critical in determining the ...
Context 21
... queue time should be avoided. The result above suggests the existence of defects not currently detected, such as ions and charges on the wafer surface. These and other and potential contaminants in the ambient such as oxygen will become increasingly critical in determining the reliability for 22nm technology nodes and beyond. The 22nm wafers in Fig. 18 are also tested for TDDB. As shown in Fig. 20, wafers are stressed at 3 different voltages, V3 > V2 > V1. At high voltage (V3), one clean process (CleanB) begins to exhibit early failures. Also, no obvious TDDB lifetime difference is observed between low and high concentrations of ...

Citations

... Nowadays, however, most of the post-Cu CMP clean chemicals for advanced technology nodes operate in the neutral to high pH regimes in order to passivate the Cu surface more effectively and prevent corrosion-related defects. In our previous work, [6] we adopted an integrated approach to reduce PR/FM and polish scratch (PS) defects by optimizing the clean chemical dilution and utilizing a pad clean. In addition, HM and DE defects were reduced through extensive queue time optimization. ...
... The CMP defects generated from the P1 and P2 cleaning processes are summarized in Fig. 4. With the acidic P1 Table I. process, PR/FM defects are low but HM and DE are high. As a consequence, extensive queue time control has to be enforced to reduce these corrosion-related defects, as reported in our previous study [6]. On the other hand, the P2 process, with its basic chemical in both roller brushes, shows low HM and DE but high PR/FM defects. ...
Article
Full-text available
A “hybrid” post Cu CMP cleaning process that combines acidic and basic clean in sequence is developed and implemented. The new process demonstrates the strengths of both acidic and basic cleans and achieves a more than 60% reduction in CMP defects, such as polish residues, foreign materials, slurry abrasives, scratches, and hollow metal, relative to an all-basic clean process. It also eliminates the circular ring defects that occur intermittently during roller brush cleans. TXRF scans confirm the reduction of AlOx defects when using the hybrid clean process. XPS spectra show similar Cu surface oxidation states between the basic and hybrid clean processes. As revealed by XRD analysis, surface Cu oxide is dissolved into aqueous solution by the acidic clean chemical. The formation mechanism of circular ring defects and the key to their elimination is discussed.
... In addition, corrosion-related defects such as hollow metal (HM) and Cu dendrites (DE) can be observed post Cu CMP. 2 With the shrinking design rule, new types of CMP defects emerge in advanced technology nodes such as 32nm and beyond. Among these, circular ring defects (sometimes referred to as brush scrubbing scratches 3 ) are unique in that they are defects generated during the brush cleaning step with distinct concentric circle signatures that follow the path of particles in motion on roller brushes, as shown in Fig. 1. ...
... As a consequence, extensive queue time control has to be enforced to reduce these corrosion-related defects, as reported in our previous study. 2 On the other hand, the P2 process, with its basic chemical in both roller brushes, shows low HM and DE but high PR/FM defects. The result suggests that, compared with the acidic P1 process, the basic chemistry in the P2 process provides better passivation of the Cu surface to prevent the formation of corrosion defects. ...
Conference Paper
Full-text available
A “hybrid” post-Cu CMP cleaning process that combines acidic and basic cleans in sequence is developed and implemented. The new process demonstrates the advantages of both acidic and basic cleans and achieves a more than 60% reduction in CMP defects, such as polish residues, foreign materials, slurry abrasives, scratches, and hollow metal, relative to an all-basic brush clean process. It also eliminates the circular ring defects that occur intermittently during roller brush clean. TXRF scans confirm the reduction of AlOx defects when using the hybrid clean process. XPS spectra show similar Cu surface oxidation states between the basic and hybrid clean processes. Both short and open yields can be improved by using the new clean process. The underlying mechanism of the huge defect reduction benefits is discussed.
Chapter
Chemical mechanical planarization (CMP) is an offshoot of conventional polishing which was developed for use in fabrication of semiconductor devices. CMP has grown to become an enabling technology in semiconductor device fabrication. The equipment, consumables, and processes employed have evolved with semiconductor devices, and significant future evolution is expected. CMP is a highly complex process despite its relatively simple outward appearance. Over the past 25 years, there has been an enormous amount of scientific research into the basic mechanisms, which is often difficult to apply to a practical understanding of its industrial use. The purpose of this article is to provide a clear understanding of both the science and application of CMP in sufficient detail to give the reader a more complete picture of the field. The focus is on portraying the key process, technology, and mechanistic issues from a manufacturing point of view. Readers who desire a deeper dive into the topics covered are directed to the references for further reading.
Chapter
Ever since its inception in the late 1980s, chemical-mechanical planarization (CMP) has been the pivotal enabling process in the manufacturing of integrated circuits (IC). Whether it is for front-end-of-the-line (FEOL) applications such as shallow trench isolation (STI) and metal gate, or back-end-of-the-line (BEOL) such as Cu interconnects or through-silicon via (TSV), CMP is the terminal step that completes a process module. As a consequence, the defects observed after CMP have direct impacts on the yield and reliability of the devices and circuits. Provided the nature of CMP process described above and its heavy usage of consumables, CMP-related defects are complicated in nature. Not only does CMP generate defects from its own process and consumables involved, but it also reveals the defects not detected and removed from all the up-stream process steps. In addition, the tolerance and specification for post CMP defect density will only become more stringent as the device dimension continues to decrease with shrinking design ground rule. For example, in the 7 nm technology node, the width of Cu interconnects in the thin wire levels is only around 24 nm, which is smaller than the diameter of abrasive nano particles in most CMP slurries. The presence of any post-CMP defects of equal or greater size on the Cu surface poses serious concern for yield loss. As a consequence, the characterization, mitigation, and reduction of CMP-related defects are among the most important yet challenging tasks in IC manufacturing. In this chapter, CMP defects will be categorized by their formation mechanism. The roles of consumables and processes in the generation of CMP defects will be discussed. The characterization of defects and the strategies to mitigate and reduce these defects will be elaborated. The chapter will be organized according to the following index:
Article
As the technology node moves below 10 nm, cobalt with its low resistivity, superior adhesion property, and wettability with copper, promises to change the conductor landscape in many areas, particularly interconnects and logic contacts. The cleaning process of cobalt films after chemical mechanical planarization is vital to ensure devices’ yield and high performance. The objective of this study is to investigate the removal of nanoparticles from cobalt films, employing two types of carboxylic acids and utilizing a wide pH range from 3 to 11. Various analytical techniques were used to understand the cleaning mechanisms, including zeta potential, surface wettability, dissolution rates, and electrochemical analysis. The results show that carboxylic acids with more chains of the carboxyl groups, such as citric acid, could yield excellent particle removal efficiencies in neutral and alkaline regions. Additionally, it can be concluded that the etching effect accelerated by complexation is predominant in acidic regions, whereas the cobalt oxide surface adsorption of carboxyl groups and stronger electrostatic repulsive forces generated by increased absolute zeta potentials play critical roles at high pH values. Based on the results, an efficient approach with minimal passivation for cleaning cobalt interconnects is proposed. A cleaning solution containing 5 mM citric acid in a neutral pH region can achieve an effective particle removal with reduced passivation using the proposed approach.
Article
Chemical mechanical planarization (CMP) is a vital process for smoothing and polishing the surfaces of various material layers in the semiconductor device fabrication. CMP slurries, chiefly composed of abrasives and various chemicals, play a key role in the planarization performance owing to the synergistic effects. Wafer quality must be investigated simultaneously with the planarization performance, because mechanical and chemical defects on wafer surfaces inevitably occur during the CMP process and should be avoided for yield enhancement. In this study, the planarization performance of dielectric layers (SiO2) was considerably improved while maintaining low wafer defect density, avoiding the trade-off between planarization performance and wafer defect density. The balanced performance was achieved via the combination of the tailoring of the zeta potential of colloidal silica nanoparticles (CSNs) and the decrease of defect concentration using fluorinated surfactant (FS)-induced intermolecular repulsion between the wafer surfaces and the additives. High-quality wafer surfaces with rare defect, low surface roughness, and no contamination (fungus and bacteria) were thus achieved. The combination of aminosilane treatment and FS effects investigated in this study can enhance the planarization performance while maintaining low defect/contaminant density not only for CMP slurries but also for various other polishing/abrasion applications.