Fig 2 - uploaded by Hendrik Purwins
Content may be subject to copyright.
Box Plot result of one-way ANOVA for the 5 most frequent basic design types. The tops and bottoms of each " box " are the 25th and 75th percentiles of the samples, respectively. The line in the middle of each box is the sample median. The whiskers, lines extending above and below each box, are drawn from the ends of the interquartile ranges to the furthest observations. The red points indicate outliers. Notches display the variability of the median between samples. The width of a notch is computed so that box plots whose notches do not overlap have different medians at the 5% significance level. The significance level is based on a normal distribution assumption, but comparisons of medians are reasonably robust for other distributions, when the assumption of normality is not valid. [8]  

Box Plot result of one-way ANOVA for the 5 most frequent basic design types. The tops and bottoms of each " box " are the 25th and 75th percentiles of the samples, respectively. The line in the middle of each box is the sample median. The whiskers, lines extending above and below each box, are drawn from the ends of the interquartile ranges to the furthest observations. The red points indicate outliers. Notches display the variability of the median between samples. The width of a notch is computed so that box plots whose notches do not overlap have different medians at the 5% significance level. The significance level is based on a normal distribution assumption, but comparisons of medians are reasonably robust for other distributions, when the assumption of normality is not valid. [8]  

Source publication
Conference Paper
Full-text available
Different approaches for the prediction of average Silicon Nitride cap layer thickness for the Plasma Enhanced Chemical Vapor Deposition (PECVD) dual-layer metal passivation stack process are compared, based on metrology and production equipment Fault Detection and Classification (FDC) data. Various sets of FDC parameters are processed by different...

Similar publications

Article
Full-text available
Nondestructive method of measuring curcuminoid content of turmeric powder was developed by fourier transform near infrared (FT-NIR) spectrometer. Also, the calibration models describing the relationship between curcuminoid content and the NIR spectra of the turmeric powder were developed and evaluated. To develop the model, NIR reflectance spectra...
Article
Full-text available
We investigated the adsorption behaviour of ten potentially toxic metals (Ni, Co, Cd, Fe, Ba, Sr, Cr, Hg, Ag and Zn) on negatively charged liposome vesicles composed of phosphatidyl choline (PC), phosphatidyl glycerol (PG) and cholesterol. The adsorption data for selected metal ions closely fit the Freundlich isotherm. Most metal ions (except Cr3+...
Article
Full-text available
This article explores a non-linear partial least square (NLPLS) regression method for bamboo forest carbon stock estimation based on Landsat Thematic Mapper (TM) data. Two schemes, leave-one-out (LOO) cross validation (scheme 1) and split sample validation (scheme 2), are used to build models. For each scheme, the NLPLS model is compared to a linea...
Preprint
Full-text available
To tackle the curse of dimensionality and multicollinearity problems of polynomial chaos expansion for analyzing global sensitivity and reliability of models with high stochastic dimensions, this paper proposes a novel non-intrusive algorithm called second order hierarchical partial least squares regression-polynomial chaos expansion. The first ste...

Citations

... In the literature, coating process modeling methods can be classified into statisticalmodel-based methods and artificial-intelligence-based methods [3,4]. Response surface methodology [5,6], analysis of variance [7], the finite element method [8], the Taguchi design method [9,10], and other statistical analyses [11,12] are frequently used statistical methods. However, statistical-model-based methods have the limitation of subjectively selecting coating control conditions by executing the designs of experiments. ...
Article
Full-text available
Nanoscale coating manufacturing (NCM) process modeling is an important way to monitor and modulate coating quality. The multivariable prediction of coated film and the data augmentation of the NCM process are two common issues in smart factories. However, there has not been an artificial intelligence model to solve these two problems simultaneously. Focusing on the two problems, a novel auxiliary regression using a self-attention-augmented generative adversarial network (AR-SAGAN) is proposed in this paper. This model deals with the problem of NCM process modeling with three steps. First, the AR-SAGAN structure was established and composed of a generator, feature extractor, discriminator, and regressor. Second, the nanoscale coating quality was estimated by putting online control parameters into the feature extractor and regressor. Third, the control parameters in the recipes were generated using preset parameters and target quality. Finally, the proposed method was verified by the experiments of a solar cell antireflection coating dataset, the results of which showed that our method performs excellently for both multivariable quality prediction and data augmentation. The mean squared error of the predicted thickness was about 1.6~2.1 nm, which is lower than other traditional methods.
... Chou et al. [16] constructed a hybrid integrating support vector machine and a genetic algorithm model to implement dynamic wafer quality prediction system. Purwins et al. [56] predicted the Plasma Enhanced Chemical Vapor Deposition (PECVD) Silicon Nitride layer thickness based on metrology and production equipment Fault Detection and Classification (FDC) data. Melhem et al. [50] studied the application of product quality prediction method based on alarm data in the semiconductor manufacturing process. ...
Article
Product quality is the lifeline of enterprise survival and development. With the rapid development of information technology, the semiconductor manufacturing process produces multitude of quality features. Due to the increasing quality features, the requirement on the training time and classification accuracy of quality prediction methods becomes increasingly higher. Aiming at realizing the quality prediction for semiconductor manufacturing process, this paper proposes a modified support vector machine (SVM) model based on feature selection, considering the high dimensional and nonlinear characteristics of data. The model first improves the Radial Basis Function (RBF) in SVM, and then combines the Duelist algorithm (DA) and variable neighborhood search algorithm (VNS) for feature selection and parameters optimization. Compared with some other SVM models that are based on DA, genetic algorithm (GA), and Information Gain algorithm (IG), the experiment results show that our DA-VNS-SVM can obtain higher classification accuracy rate with a smaller feature subset. In addition, we compare the DA-VNS-SVM with some common machine learning algorithms such as logistic regression, naive Bayes, decision tree, random forest, and artificial neural network. The results indicate that our model outperform these machine learning algorithms for the quality prediction of semiconductor.
... A model based on the Partial Least Squares Regression (PLS) is developed in Besnard et al. (2012) to predict the Plasma Enhanced Chemical Vapor Deposition (PECVD) oxide thickness using Fault Detection and Classification (FDC) and metrology data. In Purwins et al. (2011), different approaches (simple linear regression, multiple linear regression, partial least squares regression, and ridge linear regression) are compared to predict the average Silicon Nitride cap layer thickness for the PECVD process. ...
Article
The quality of production in the wafer manufacturing process cannot be always monitored by metrology tools because physical measurements are very expensive. Instead of conducting costly quality tests, it is desirable to predict the wafer quality Regression models are useful to build such a predictor by using the production equipment data and a set of wafer quality measurements. As the semiconductor manufacturing process consists of a huge amount of data that are correlated and very few quality measurements, Ordinary Least Squares (OLS) regression fails in predicting the wafer’s quality. Regression methods dealing with multicollinear high-dimensional input data are required. In this paper, a survey of regularized linear regression methods based on feature reduction and variable selection methods is presented. These methods are applied to predict the wafer quality based on the production equipment data, then compared. Regression parameter optimization and model selection are performed and evaluated via cross validation, using the Mean Squared Error (MSE). Our results indicate that reducing the predictor’s dataset will improve the model robustness and the prediction accuracy.
... However, reduction of the input dataset may lead to suboptimal results and it is generally a time consuming procedure, thus in general preventing on-line recomputation, as often required in industrial VM systems. Other techniques deal with high-dimensionality directly within the modeling step, like regularization methods (Ridge Regression (RR) [20], LASSO [14] and Elastic Nets [12]), that impose a penalty on model complexity to provide parsimonious models; sparse methods (Stepwise Selection [21], LARS [15] and LASSO, that belongs to both classes) that generate models using a subset only of the input variables. ...
Article
In semiconductor manufacturing, wafer quality control strongly relies on product monitoring and physical metrology. However, the involved metrology operations, generally performed by means of scanning electron microscopes, are particularly cost-intensive and time-consuming. For this reason, in common practice a small subset only of a productive lot is measured at the metrology stations and it is devoted to represent the entire lot. Virtual Metrology (VM) methodologies are used to obtain reliable predictions of metrology results at process time, without actually performing physical measurements. This goal is usually achieved by means of statistical models and by linking process data and context information to target measurements. Since semiconductor manufacturing processes involve a high number of sequential operations, it is reasonable to assume that the quality features of a given wafer (such as layer thickness and critical dimensions) depend on the whole processing and not on the last step before measurement only. In this paper, we investigate the possibilities to enhance VM prediction accuracy by exploiting the knowledge collected in the previous process steps. We present two different schemes of multi-step VM, along with dataset preparation indications. Special emphasis is placed on regression techniques capable of handling high-dimensional input spaces. The proposed multi-step approaches are tested on industrial production data.
... In the thus created localized plasma, the accelerated heavy gas ions are not fast enough to reach the cathode before the turn-over of the RF field, but enhance the activation or rather ionization of the reaction gas through collisions with other gas molecules. For a certain mixture of process gases, the impact ionization rate in the reaction gas depends on the injected RF source-power and the total gas pressure [128]. ...
... High prediction accuracy in the process area of CVD was achieved by nonlinear ML methods (e. g. SVR with kernels) outperforming linear regression methods [128]. By fitting nonlinear functions to data also negative values for R 2 can be obtained [14] in contrast to equations (3.17) & (3.18). ...
... Also important for the success of the implementation of VM is the iterative involvement of process experts for a priori data analysis as well as selection of relevant parameters in addition to the application of FS algorithms in order to keep the computational effort within feasible limits. Regarding the appropriate choice of prediction algorithms, it already becomes obvious that Simple Linear Regression and MLR are not unconditionally suitable for VM in SM due to their lack of robustness and accuracy [128], [155]. Further research in this area focuses on robust and high-sophisticated statistical models (e. g. ...
Thesis
Full-text available
The present dissertation enhances the research in computer science, especially state of the art Machine Learning (ML), in the field of process development in Semiconductor Manufacturing (SM) by the invention of a new Feature Selection (FS) algorithm to discover the most important equipment and context parameters for highest performance of predicting process results in a newly developed advanced Virtual Metrology (VM) system. In complex high-mixture-low-volume SM, chips or rather silicon wafers for numerous products and technologies are manufactured on the same equipment. Process stability and control are key factors for the production of highest quality semiconductors. Advanced Process Control (APC) monitors manufacturing equipment and intervenes in the equipment control if critical states occur. Besides Run-To-Run (R2R) control and Fault Detection and Classification (FDC) new process control development activities focus on VM which predicts metrology results based on productive equipment and context data. More precisely, physical equipment parameters combined with logistical information about the manufactured product are used to predict the process result. The compulsory need for a reliable and most accurate VM system arises to imperatively reduce time and cost expensive physical metrology as well as to increase yield and stability of the manufacturing processes while concurrently minimizing economic expenditures and associated data flow. The four challenges of (1) efficiency of development and deployment of a corporate-wide VM system, (2) scalability of enterprise data storage, data traffic and computational effort, (3) knowledge discovery out of available data for future enhancements and process developments as well as (4) highest accuracy including reliability and reproducibility of the prediction results are so far not successfully mastered at the same time by any other approach. Many ML techniques have already been investigated to build prediction models based on historical data. The outcomes are only partially satisfying in order to achieve the ambitious objectives in terms of highest accuracy resulting in tight control limits which tolerate almost no deviation from the intended process result. For optimization of prediction performance state of the art process engineering requirements lead to three criteria for assessment of the ML algorithm for the VM: outlier detection, model robustness with respect to equipment degradation over time and ever-changing manufacturing processes adapted for further development of products and technologies and finally highest prediction accuracy. It has been shown that simple regression methods fail in terms of prediction accuracy, outlier detection and model robustness while higher-sophisticated regression methods are almost able to constantly achieve these goals. Due to quite similar but still not optimal prediction performance as well as limited computational feasibility in case of numerous input parameters, the choice of superior ML regression methods does not ultimately resolve the problem. Considering the entire cycle of Knowledge Discovery in Databases including Data Mining (DM) another task appears to be crucial: FS. An optimal selection of the decisive parameters and hence reduction of the input space dimension boosts the model performance by omitting redundant as well as spurious information. Various FS algorithms exist to deal with correlated and noisy features, but each of its own is not capable to ensure that the ambitious targets for VM can be achieved in prevalent high-mixture-low-volume SM. The objective of the present doctoral thesis is the development of a smart FS algorithm to enable a by this advanced and also newly developed VM system to comply with all imperative requirements for improved process stability and control. At first, a new Evolutionary Repetitive Backward Elimination (ERBE) FS algorithm is implemented combining the advantages of a Genetic Algorithm (GA) with Leave-One-Out (LOO) Backward Elimination as wrapper for Support Vector Regression (SVR). At second, a new high performance VM system is realized in the productive environment of High Density Plasma (HDP) Chemical Vapor Deposition (CVD) at the Infineon frontend manufacturing site Regensburg. The advanced VM system performs predictions based on three state of the art ML methods (i.e. Neural Network (NN), Decision Tree M5’ (M5’) & SVR) and can be deployed on many other process areas due to its generic approach and the adaptive design of the ERBE FS algorithm. The developed ERBE algorithm for smart FS enhances the new advanced VM system by revealing evidentially the crucial features for multivariate nonlinear regression. Enabling most capable VM turns statistical sampling metrology with typically 10% coverage of process results into a 100% metrological process monitoring and control. Hence, misprocessed wafers can be detected instantly. Subsequent rework or earliest scrap of those wafers result in significantly increased stability of subsequent process steps and thus higher yield. An additional remarkable benefit is the reduction of production cycle time due to the possible saving of time consuming physical metrology resulting in an increase of production volume output up to 10% in case of fab-wide implementation of the new VM system.
... As an extension of [15], the present paper adds a comparison of SVR to methods based on linear regression and is structured as follows: In Sec. II, we outline the physical metrology. ...
Article
Full-text available
The quality of wafer production in semiconductor manufacturing cannot always be monitored by a costly physical measurement. Instead of measuring a quantity directly, it can be predicted by a regression method (virtual metrology). In this paper, a survey on regression methods is given to predict average silicon nitride cap layer thickness for the plasma-enhanced chemical vapor deposition dual-layer metal passivation stack process. Process and production equipment fault detection and classification data are used as predictor variables. Various variable sets are compared: one most predictive variable alone, the three most predictive variables, an expert selection, and full set. The following regression methods are compared: simple linear regression, multiple linear regression, partial least square regression, and ridge linear regression utilizing the partial least square estimate algorithm, and support vector regression (SVR). On a test set, SVR outperforms the other methods by a large margin, being more robust toward changes in the production conditions. The method performs better on high-dimensional multivariate input data than on the most predictive variables alone. Process expert knowledge used for a priori variable selection further enhances the performance slightly. The results confirm earlier findings that virtual metrology can benefit from the robustness of SVR, an adaptive generic method that performs well even if no process knowledge is applied. However, the integration of process expertise into the method improves the performance once more.
... In this example the VMPL is constituted by Process 1, 2, 3 and 4. dimensionality reduction of the input space is needed to enable their application. For this reason a different approach based on Regularization Methods is becoming popular in the VM literature [10], [13], [17]; regularization methods also enjoy the property of being interpretable, a desired quality for VM systems. Section III will be dedicated to introducing Regularization Methods. ...
Conference Paper
Full-text available
Semiconductor fabrication involves several sequential processing steps with the result that critical production variables are often affected by a superposition of affects over multiple steps. In this paper a Virtual Metrology (VM) system for early stage measurement of such variables is presented; the VM system seeks to express the contribution to the output variability that is due to a defined observable part of the production line. The outputs of the processed system may be used for process monitoring and control purposes. A second contribution of this work is the introduction of Elastic Nets, a regularization and variable selection technique for the modelling of highly-correlated datasets, as a technique for the development of VM models. Elastic Nets and the proposed VM system are illustrated using real data from a multi-stage etch process used in the fabrication of disk drive read/write heads.
... Such issues can be prevented using ridge regression (RR). RR is a regularization technique that has been used for VM purposes in [29,30] and is based on the minimization of the objective function ...
Article
In semiconductor manufacturing plants, monitoring physical properties of all wafers is crucial to maintain good yield and high quality standards. However, such an approach is too costly, and in practice, only few wafers in a lot are actually monitored. Virtual metrology (VM) systems allow to partly overcome the lack of physical metrology. In a VM scheme, tool data are used to predict, for every wafer, metrology measurements. In this paper, we present a VM system for a chemical vapor deposition (CVD) process. On the basis of the available metrology results and of the knowledge, for every wafer, of equipment variables, it is possible to predict CVD thickness. In this work, we propose a VM module based on least angle regression to overcome the problem of high dimensionality and model interpretability. We also present a statistical distance‐based clustering approach for the modeling of the whole tool production. The proposed VM models have been tested on industrial production data sets. Copyright © 2012 John Wiley & Sons, Ltd.
... In order to prevent such issues, it is possible to consider objective function that also penalize model complexity like Ridge Regression (RR). RR is a regularization technique that has been used for VM porpuses in [9] and minimize the objective function ...
Conference Paper
In semiconductor manufacturing plants, monitoring physical properties of all wafers is fundamental in order to maintain good yield and high quality standards. However, such an approach is too costly and in practice only few wafers in a lot are actually monitored. Virtual Metrology (VM) systems allow to partly overcome the lack of physical metrology. In a VM scheme, tool data are used to predict, for every wafer, metrology measurements. In this paper, we present a VM system for a Chemical Vapor Deposition (CVD) process. On the basis of the available metrology results and of the knowledge, for every wafer, of equipment variables, it is possible to predict CVD thickness. We propose a VM module based on LARS to overcome the problem of high dimensionality and model interpretability. The proposed VM models have been tested on industrial production data sets.
Article
Virtual metrology (VM) is one of the most important enabling technologies in smart manufacturing. Although there is an abundance of literature on VM applications, the context of continuous production has received less attention. Fundamental challenges involved in the application of VM to a continuous process have been overlooked in comparison with intermittent (or batch) processes. Here, we described a real-world VM system for the manufacturing of spandex fiber, focusing on how practical challenges associated with a continuous process, including time synchronization, recirculation process, and autocorrelated features, can be addressed using data analytics. A model refresh strategy is discussed for the deployed VM system to ensure continuous usability and high-quality predictions. The virtual-physical connection established by the VM system creates a virtuous cycle in which constantly updated data render the system realistic, and valuable insights generated by the system can be applied to the physical production environment.