Article

Polymer stamps for nanoimprinting

Authors:
To read the full-text of this research, you can request a copy directly from the authors.

Abstract

Stamp fabrication for nanoimprinting can be significantly simplified, when specialized crosslinking polymers are applied to pattern definition. The polymer patterns can be used as stamps themselves. Two possibilities are reported: (1) An e-beam sensitive resist was developed, which enables the fabrication of polymer-on-silicon stamps. Patterns with a feature size of 70 nm could be created. (2) Full plastic stamps were obtained by a casting-moulding technique, which enable pattern transfer from any conventional mould. The quality of the two stamp variants were proved by imprinting experiments.

No full-text available

Request Full-text Paper PDF

To read the full-text of this research,
you can request a copy directly from the authors.

... The material chosen affects the mold lifespan and reliability. Harder materials provide better wear characteristics, while soft moulds may have a limited lifespan, but can simplify stamp creation (Pfeiffer et al., 2002). Not only the mechanical characteristics, but also optical and chemical properties are important when choosing a mold material for NIL. ...
... It is necessary to develop much more new mold materials with better performances to meet new NIL requirements (e.g. conductive mold for electrical field assisted NIL, release agent-free mold) (Guo, 2007, Costner et al., 2009, Yokoo & Namatsu, 2009, Pfeiffer et al., 2002, Choi & Park, 2004). ...
Chapter
Full-text available
This work was partly supported by the 973 Basics Science Research Program of China (Grant No. 2009CB 724202), National Science Foundation of China (Grant No. 50775176) and National Science Foundation of Shandong Province (Grant No.Y2007F49).
... Imprinting or nanoimprint lithography (NIL) has been considered as an emerging technology for patterning structures in micro-and nanoscale [1][2][3][4]. Important advantage of this technique includes a potential for high-throughput with low equipment costs. The operation principle of imprinting is fairly simple. ...
... The coupling efficiency Γ 2 between fiber and waveguides is defined as an overlap integral between optical fields of a fiber (E fiber ) and propagating mode in a waveguide (E wg ) [18]. (4) In this expression, x' and y' represent the lateral and vertical offsets of a fiber from the optimal coupling spot. E fiber was approximated as a Gaussian profile. ...
Article
Full-text available
Optical ridge type waveguides based on UV-curable polymer were fabricated by imprinting method. Positive tone resist patterned on a silicon wafer was used as a mould. The characterization of waveguides was carried out by coupling TE-polarized light from a tapered fiber into a waveguide with 30 mm length and mapping the intensity distribution with another tapered fiber at the output facet of a waveguide. Proper single- or multimode operation was observed depending on the waveguide width being either 2 microm or 6 microm. Experimental observations on the mode profiles were also supported by the simulation results. Average power transmissions of 32% at 1530 nm wavelength and 45% at 1310 nm wavelength were characterized. The results suggest that the simple mould fabrication process might be a useful technique for device prototyping and that the performance of replicated waveguides can meet the requirements for certain applications.
... Advanced lithography followed by lift-off is another widely used method for fabrication of nanostructures directly on substrates; however, the expensive and timeconsuming processes involved make it difficult for cost-effective and scalable production of nanostructures 23,24 . Nanoimprinting is a scalable technique, but it is usually limited to soft materials such as polymers 25 . Advanced nanoimprinting of hard materials is possible with the help of high-energy laser that could melt the material; but it is expensive and energy-inefficient 26,27 . ...
Article
Full-text available
Despite its advantages of scalable process and cost-effectiveness, nanoimprinting faces challenges with imprinting hard materials (e.g., crystalline metals) at low/room temperatures, and with fabricating complex nanostructures rapidly (e.g., heterojunctions of metal and oxide). Herein, we report a room temperature ultrasonic nanoimprinting technique (named nanojackhammer) to address these challenges. Nanojackhammer capitalizes on the concentration of ultrasonic energy flow at nanoscale to shape bulk materials into nanostructures. Working at room temperature, nanojackhammer allows rapid fabrication of complex multi-compositional nanostructures made of virtually all solid materials regardless of their ductility, hardness, reactivity and melting points. Atomistic simulations reveal a unique alternating dislocation generation and recovery mechanism that significantly reduces the imprinting force under ultrasonic cyclic loading. As a proof-of-concept, a metal-oxide-metal plasmonic nanostructure with built-in nanogap is rapidly fabricated and employed for biosensing. As a fast, scalable, and cost-effective nanotechnology, nanojackhammer will enable various unique applications of complex nanostructures in optoelectronics, biosensing, catalysis and beyond.
... Though hard materials have better wear characteristics and longer lifetime, it is hard to fabricate mold using hard materials. On the other hand, using soft materials provides easier mold fabrication though lifetime may be limited [38]. The performance and lifetime of stamp can be enhanced by applying good anti-sticking coating on the surface of the mold. ...
... Les polymères utilisés pour les moules souples sont des élastomères thermodurcissables structurés par TNIL et transparents aux UVs afin d'être compatibles avec l'UVNIL comme les polycarbonates (PCs) [59], le polyester perfluoré (PFPE) [60], l'éthylène tétrafluoroethylène (EFTE) [61] ou encore le polydimethylsiloxane (PDMS) [62]. Cependant, ces composants possèdent des modules d'Young peu élevés et limitent la résolution du transfert par NIL des motifs dans ces matériaux. ...
Thesis
Full-text available
Les filtres CRIGFs sont une nouvelle génération de filtres optiques réflectifs nanostructurés qui présentent un très fort intérêt pour de nombreuses applications. Cependant, leur fabrication est relativement complexe : il s'agit de composants structurés à des échelles petites devant la longueur d'onde d'utilisation, mais de surface totale relativement grande. Ils sont usuellement fabriqués en utilisant des procédés de lithographie de type lithographie électronique, qui présente une résolution suffisante mais qui est séquentielle et donc lente pour de telles surfaces de composant. En outre, les CRIGFs sont souvent réalisés sur des substrats isolants, ce qui complexifie encore plus l'utilisation de cette lithographie. Lors de cette thèse, un procédé de fabrication des CRIGFs a été développé à partir de la lithographie par nanoimpression via moule souple (SNIL). Cette technologie collective et à haut rendement contourne les inconvénients et garde les avantages de la traditionnelle lithographie électronique. Elle permet de fabriquer des motifs nanométriques par simple pressage d'un moule souple sur une couche de résine de polymères sous insolation d'ultraviolets. Après avoir stabilisé le procédé et établi les limites de la technologie, de nombreux filtres CRIGFs ont ainsi été créés. Ils présentent des résultats optiques équivalents dans le proche infrarouge (NIR) à ceux fabriqués par lithographie électronique. Dans un deuxième temps, le caractère générique du procédé mis en place a été démontré de plusieurs façons. Premièrement, nous avons montré qu'il était possible à l'aide de celui-ci de dépasser les compromis usuels de conception en structurant directement le guide d'onde, qui sera ensuite ré-encapsulé. Deuxièmement, nous avons montré que ce même procédé pouvait être directement transféré pour réaliser des filtres CRIGF dans la gamme du moyen infrarouge, bien que les filtres soient alors réalisés sur un matériau cristallin III-V et présentent des dimensions micrométriques plutôt que nanométriques. Enfin, nous avons démontré la grande souplesse et stabilité du procédé en l'utilisant pour explorer différentes géométries potentiellement intéressantes de cette nouvelle famille de filtres optiques nanostructurés. Nous avons notamment étudié des CRIGFs comportant un gradient de période qui ont permis pour la première fois d'obtenir un filtre CRIGF accordable. Pour finir, nous nous sommes attachés à étudier le potentiel de réalisation de filtres CRIGFs plus complexes et présentant plusieurs niveaux de corrugation.
... Moreover, the excellent flexibility of the elastomeric material offers a good contact between the stamp and the substrate on large areas at low pressures (tens of bars) and on non-planar substrates. Various polymeric materials have been used for stamp fabrication as cross-linked novolak based epoxy resin [21], polycarbonate resins [22], fluoropolymer materials and tetrafluoroethylene (PTFE) [23]. In addition, poly(dimethylsiloxanes) (PDMS) have very interesting properties as a stamp elastomer. ...
... Silicon is therefore not widely viewed as an appropriate material for heavily used imprint tools, although it may successfully be used to make master molds against which metallic or thermosetting polymer 'child' molds can, respectively, be plated or cast. Polymer-on-silicon tools have been produced by spinning on to a silicon substrate, and then patterning, a layer of ultraviolet-curable thermosetting polymer such as mr-L 6000-XP (Micro Resist Technology, Berlin) [18] or SU-8 (Microchem Corp, Newton, MA) [19]. Features patterned in this way could approach 100 μm in depth. ...
Article
Full-text available
Imprinting processes show remarkable potential for manufacturing devices with micro-to nanometer-scale features and planar 2-D geometry for a variety of applications. This work specifically considers tooling for thermoplastic imprinting processes such as hot micro-embossing (HME), and applications where the imprint process produces the actual functional features, such as microfluidic channels or optical elements (rather than, for example, imprinting an etch barrier). Tooling is critical for manufacturing by HME, since the tool is in intimate contact with the part and defines its geometry. Tool-to-tool variation also has a direct impact on the overall quality of imprinted parts. Tool life contributes to overall cost, as well as reducing quality if a large number of tools must be used and tool-to-tool variation is large. Various materials and techniques for producing tools are reviewed, and the unique characteristics of each process are considered with regard to their consequences for mass-manufacturing of polymer devices by HME.
... In [6], a polycarbonate-based polymer is used as the secondary mould material for replication in poly(methyl methacrylate) (PMMA) on opaque substrates. Similar polymeric moulds have been produced using mr-I 9000C-XP polymer on a 4-inch wafer [7]. Here, we describe a method for producing freestanding polymer replicas, for use in biomedical applications, using NIL. ...
Article
Polymers with high glass transition temperatures, fluorinated ethylene propylene copolymer (FEP) and poly(ethylene naphthalate) (PEN), have been used in imprint lithography as a protective support layer and as a secondary mould, to imprint superficial structures into a polymer with a lower glass transition temperature, namely poly(methyl methacrylate) (PMMA). As a support layer, FEP replaces fragile silicon based supports for the production of freestanding, structured sheets of PMMA, useful, for example, in biomedical applications where transmittance optical microscopy is required. Secondary PEN moulds, produced by imprinting using silicon-based primary moulds, have been used to transfer sub-micrometer tall structures to a freestanding PMMA sheet. Similarly, hole structures, with different dimensions, have been embossed in both sides of a PMMA sheet simultaneously.
Chapter
Patterning technology is crucial in micro/nanofabrication. Development of photolithography roadmap is agreeable with Moore’s law, which claims that the number of transistors per square inch may double approximately every 18 months. However, due to exposure wavelength diffraction limit, the technical complexity and manufacturing costs have been increased dramatically for the nanometer-scale line-width manufacturing. In such a context, next-generation lithography (NGL) has been proposed to replace conventional photolithography.
Article
Lithography, the fundamental fabrication process of semiconductor devices, has been playing a critical role in micro-nanofabrication technologies and manufacturing of Integrated Circuits (IC). Traditional optical lithography including contact and project photolithography has contributed significantly to the semiconductor device advancements. Currently, maintaining the rapid pace of half-pitch reduction requires overcoming the challenge of improving and extending the incumbent optical projection lithography technology while simultaneously developing alternative, next generation lithography (NGL) technologies to be used when optical projection lithography is no longer more economical than the alternatives. Furthermore, NIL is also one of the most promising low-cost, high-throughput technologies for manufacturing nanostructures as this highly technical book will give new insight to.
Article
Nanoimprint lithography (NIL) is fast becoming an important nanofabrication technique for the lithography needs of the twenty-first century. Within this chapter, various NIL techniques, such as thermal nanoimprint lithography, ultraviolet-assisted nanoimprint lithography, and roll-to-roll nanoimprint lithography, are described in detail. Materials used in NIL are elaborated upon, with particular attention paid to functional materials, as well as applications that are utilizing NIL for patterning.
Chapter
Resist is a mixture of a polymer or its precursor and other small molecules that the solubility or viscosity is changed by UV light or electron beam or ion beam or X-ray and used in the fabrication of IC field. Resists used during photolithography are called photoresists. It can be functioned as an etching resistant material to protect the substrate. Photoresists can be classified into two groups: the positive and the negative, according to the polarity of pattern structures after photon exposure. A positive resist is a type of photoresist in which the long-chain molecules can be broken by exposure into short chains. The exposed portion becomes soluble to the photoresist developer, and an unexposed portion of the photoresists is insoluble to the photoresist developer. A negative resist is a type of photoresist in which the short-chain molecules can form together to long chains. The exposed portion becomes insoluble to the photoresist developer, and an exposed portion of the photoresists is soluble to the photoresist developer [1]. Figure 5.1 shows the basic microlithography process of photoresists.
Article
During the last decade, surface plasmon resonance (SPR) has become widely used to characterize a biological surface and to characterize binding events in the fields of chemistry and biochemistry. Research in this field has been favoured by the tremendous growth in nanofabrication methods among which soft lithographies are alternatively emerging. The purpose of this thesis work was to develop soft UV nanoimprint lithography, an emerging flexible technology allowing patterning on large area of subwavelength photonic nanostructures. The main advantages offered by soft UV nanoimprint lithography concern the simple patterning procedure and the low cost of the experimental setup (see state-of-art presented in chapter 1). Chapters 2 and 3 present the fabrication of master stamps, the study of nanoimprinting parameters coupled with the optimization of the etching process in order to get metallic nanostructures with limited pattern defects. The physical mechanisms of the transmission phenomenon exalted by surface plasmons were studied based on arrays of imprinted gold nanoholes (chapter 4). Extraordinary light transmission has been experimentally demonstrated. The geometrical effects on the position transmission peak were systematically analyzed. Proof-of-concept measurements performed in simple fluidic device indicate a response to small changes in refractive index in the surface vicinity. Finally, chapter 5 proposes a novel design for the optical sensor which is based on "nanocavities" exhibiting coupled localized plasmons. This LSPR sensor offers an improvement of one order of magnitude of the Figure of Merit compared to classical LSPR sensors. The resonance properties of these innovative nanocavities have been studied from numerical simulations and discussed based on their geometrical dependence. Since this system has demonstrated higher sensitivity for detection of biomolecules, it is thus fully adapted to study immunochemical binding interactions.
Article
The basis of our investigation is a modified UV curable negative tone resist (mr-L 6000 XP), which allows for warm embossing at a temperature as low as 50 °C. UV curing serves to stabilise the imprinted pattern, either in a flood exposure or an exposure through a photomask. The latter may be used to combine embossing with a subsequent UV lithography step to define either two-level or three-level patterns. In the case of a patterned resist, the standard UV curing process is not applicable since it affects the edge steepness of the embossed structure. Distinctive phase shifting effects are observed, depending on the lateral periodicity of the embossed structures, resulting in trenches below steep edges when the effective exposure happens within a narrow wavelengthband. Especially for polychromatic illumination, the absorption of the photoresist has to be taken into account to circumvent these trenches. This is verified by simulation of the UV intensity distribution within the patterned resist.
Conference Paper
For the hot-embossing lithography, imprinting stamp with long-life span, good anti-wear property and precise geometrical shape, is much expected for pushing forward the technology to industrial application. By analyzing disadvantages of current Si and SiO2 imprinting stamps, this paper presents TiN coating/glass substrate system as the stamp material, in which the glass plates serves as substrate and the hard TiN coating is fabricated for the nano-patterns. To fabricate the stamp, firstly, several microns TiN coating is deposited on the glass by ion- beam deposition system, then focused ion beam etching system is used to fabricate a series of nano-patterns on the TiN coating. The primary hot-embossing imprinting results indicate good results for PMMA. Hereby it is believed that conventional hard coating TiN could be potentially a good choice for realizing the long-life imprinting and improving the life duration of the imprinting stamp greatly.
Article
Prepolymers formed from multifunctional allyl monomers can beneficially used in nanoimprint lithography (NIL), since they cure as a consequence of heating during the imprint process. Thus they have the potential to enable NIL at comparatively low temperatures while the imprinted patterns concurrently show high thermal stability, in contrast to thermoplastic polymers, where the thermal behaviour of the imprinted patterns is closely related to the glass transition temperature (Tg) of the polymers. The use of allyl prepolymers for NIL was previously described, but only very few experimental data are known. In recent investigations on the application of allyl prepolymers for NIL a displacement of the patterns on the wafer has been observed after cooling down the imprinted polymer in the press. This could be avoided by detaching the stamp at the imprint temperature, i.e. without cooling down the press, which requires the polymer to be crosslinked to a great extent in this stage. Since high temperatures are necessary (150 °C - 190 °C), and the imprint time is still long, allyl prepolymers to be reported here have been modified aiming at a reduction of imprint temperature and time. The admixture of free-radical initiators increases the polymerization rate and allows the polymerization to start at lower temperatures. A reduced imprint temperature (100 °C) and shorter imprint time (10 min) are achieved. Additional polymer modification by plasticizers improves the material flow during the imprint due to a lower Tg. Recipes for polymer modifications have been found out, which result in thermally stable imprints under the specified processing conditions.
Article
Nanoimprint lithography is an emerging nanopatterning method, combining nanometer-scale resolution and high throughput. In a top-down approach, a rigid stamp with a surface relief is pressed into a thin film of soft material on a hard substrate. The film is hardened before the stamp is retrieved, and the surface relief is copied into the thin film. A pattern with nano- to micrometer scale features can be replicated in a parallel process, and the stamp may be reused many times. This makes nanoimprint lithography a promising technique for volume manufacturing of nanostructured components. At present, structures with feature sizes down to 5 nm have been realized, and the resolution is limited by the ability to manufacture the stamp relief. For historical reasons, the term nanoimprint lithography (NIL) refers to a hot embossing process, where a thin film of thermoplastic material is softened by heating it, and the embossed film is hardened again when it is cooled down. In ultraviolet (UV)-NIL, a photo-polymerizable resin is used together with a UV-transparent stamp. The resin is liquid at room temperature, allowing easy embossing of the stamp, before the resin is hardened by UV exposure. In this chapter we will give an overview of nanoimprint lithography, with emphasis on NIL. Material aspects of stamps and resists are discussed. Thin-film rheology plays a central role of the understanding of the nanoimprint process, since the resist is patterned by mechanical deformation. We discuss specific applications where imprint methods have significant advantages over other structuring methods. We conclude by discussing the areas where further development in this field is required.
Article
To utilize the potential of nanoimprint lithography (NIL) you need polymers, which give relief patterns with good thermal and etch resistance, a necessity for subsequent process steps. Thermoplastic polymers with high thermal stability require high imprint temperatures. Such temperatures can cause polymer degradation and problems with pattern transfer due to the different coefficients of thermal expansion of substrate, polymer and stamp. The characteristics and benefits of two types of cross-linking prepolymers with low glass transition temperature (Tg) for nanoimprinting are described. They are soluble in organic solvents and their solutions can be processed like those of poly (methyl methacrylate) (PMMA). The imprinted patterns receive high thermal and mechanical stability through cross-linking polymerization and exhibit high plasma etch resistance. The course of the polymerization was investigated to determine the appropriate conditions for the imprint process. In thermally cross-linking allyl polymers, the cross-linking occurs during imprinting. Process time and temperature depend on the polymerization rate. Volume shrinkage during the polymerization does not adversely affect imprinting. Photochemically cross-linking epoxy-based polymers permit imprint temperatures below 100°C and short imprint times. Tg of the prepolymer determines the imprint temperature. The cross-linking reaction and structural stabilization is performed after imprinting. SEM images demonstrate the realization of the cross-linking polymer approach. Isolated lines down to 50 nm width confirm the successful application of the polymers.
Article
Four high resolution negative electron beam resists are compared: TEBN-1 from Tokuyama Corp. Japan, ma-N 2401XP and mr-L 6000.1XP from microresist technology GmbH Germany, and SU-8 2000 series from MicroChem Corp., USA. Narrow linewidth high density patterns are defined by 100 kV electron beam lithography, and the pattern is transferred into silicon by a highly anisotropic SF6/O2/CHF3 based reactive ion etch process with a selectivity between silicon and the investigated resists of approximately 2. 20 nm half-pitch lines and 10 nm lines with a pitch down to 60 nm are written and transferred into silicon.
Article
A low-Tg resist material, mr-L 6000XP, was investigated for low-temperature imprint. Its stabilization requires UV exposure and a postexposure bake. To approach the UV-induced crosslinking process, the local distribution of light intensity within the imprinted pattern was simulated. To account for a nonuniformity of the residual layer caused by pattern size and pattern density effects during imprint, different residual layer heights were adopted. The simulations show that not only the residual layer height but also the pattern size influences the resulting local intensity distribution. Experiments performed for selected residual layer heights (50, 100, 150, and 200 nm) document that in fact the residual layer height affects the pattern quality obtained after stabilization. The effects identified are different for differing pattern sizes. Beyond stabilization, the results have consequences for mix and match of nanoimprint with UV lithography.
Article
In thermal nanoimprint lithography (NIL), nanometre scale features of a stamp are embossed into a thin polymer layer, which has been heated above its glass transition temperature (Tg). In the development of NIL polymer materials have been playing a key role. Process parameters such as imprint temperature, time and pressure depend on the thermomechanical properties of the polymers. High etch resistance is important for transferring nanometre scale imprinted patterns into a substrate. A survey on polymer systems specifically designed for NIL is given. Thermoplastic methacrylate-based homopolymers and copolymers have been prepared differing in their chemical composition and molecular weights, thermal and flow properties and exhibiting excellent plasma etch resistance. Curing polymers enable nanoimprinting at moderate temperatures. Macromolecular networks are formed by thermal or photochemical crosslinking. In the first case crosslinking occurs during imprinting, in the second one, imprinting and network formation can be conducted in separate steps. The thermal properties of curing polymers can be controlled by the imprint conditions.
Article
In this work we address key fabrication issues, specific to fabricating an embedded single mode waveguide system for the telecommunication window. These are the control of adhesion between polymer layers and filling in cavities on pre-patterned polymer substrates. We report the fabrication of two embedded polymer single-mode waveguides, namely, polystyrene/Teflon® AF and mr-L 6000/Teflon® AF, fabricated by means of electron beam lithography and/or nanoimprint lithography. For both waveguides, it is possible to realize a low confinement of the guided mode in the core due to the low refractive index contrast between the core material and the cladding layer (around 0.05–0.2). This gives the flexibility to reduce waveguides optical losses if the losses of the core polymer are too high, which is usually the case in near infrared for most polymers.
Article
Fluorescence microscopy is introduced as a low cost quality control process for nanoimprint lithography. To depict imprinted structures down to 1 mum lateral size and to detect residues down to 100 nm lateral size, the standard printable polymer mr-18000 is labelled with less than 0.1 wt.% fluorescent dye. Three different types of stamps are used to determine the dependence of the shape and size of stamp features in a series of imprints. The quality of a stamp is given by the sticking polymer residues per unit area. Fluorescence light images as well as visible light images are analysed. Changes in the area of the stamp covered with polymer as a function of the number of imprints is summarised in a statistical process chart. Adhesion was artificially induced in order to observe self cleaning of virgin stamps. They were detected and monitored, suggesting that this method is a suitable technique for quality control and that it could be easily adapted to the nanoimprint process. (C) 2003 Elsevier Science B.V. All rights reserved.
Article
In this article we examine the use of two-level hybrid-material stamps and nanoimprint lithography (NIL) of poly(dimethylsiloxane) (PDMS) on glass substrates. A silicon/SU8 stamp manufacturing process has been developed, in order to combine nanometer and micrometer structures, thus avoiding complex deep etching processes. The stamp has been test printed in polymethyl methacrylate (PMMA) to demonstrate functionality. We describe polymer flow problems for imprinting large structures and identify optimized parameters, in accordance with previously published findings. The use of PDMS as imprint polymer was examined. Imprinting works well, however, large recovery after separation shrinks the micrometer channels substantially and renders the nanochannels useless. Glass substrates in combination with silicon stamps were used, evaluated and showed to work well at low temperature. (C) 2007 Elsevier B.V. All rights reserved.
Article
Hot embossing microfabrication has been used for the replication of a mold onto a polymer substrate for the past decade, with the molds usually made using techniques like wet etching, CNC machining, and laser writing. Fabricating a male mold using a laser and CNC machining consumes a lot of resources in mold design, mold fabrication time, and smooth surface finish of the mold. In this work, a novel approach called two-stage embossing that is a slight modification of the existing process is proposed. This process still requires a primary mold (of the same shape as the final desired part) that is used to emboss on a polymer of higher glass transition temperature than the substrate to be used for the second stage embossing. Finally this polymer secondary mold is used for the final replication on the desired substrate. Preliminary experimental results focusing on mold quality with respect to the number of embossing cycles of the secondary mold, the embossing quality of the final substrate as compared to the primary silicon mold, and the life of the secondary mold are presented. The experimental results confirm the viability of the process as a candidate process for hot embossing microreplication applications. (C) 2006 Society of Photo-Optical Instrumentation Engineers.
Article
The benefits of allyl prepolymers for nanoimprint lithography (NIL) are investigated. Allyl prepolymers cure during the imprint process as a result of heating. They have the potential to enable NIL at lower temperatures, while the imprinted patterns show high thermal stability in contrast to thermoplastic polymers, where the thermal behaviour of the imprinted patterns is strictly determined by the glass transition temperature (Tg) of the polymer.Allyl prepolymers reported here are modified with the aim of obtaining high quality imprints at decreased imprint temperature and reduced cycle time of the imprint process. Free-radical initiators are applied to increase the polymerization rate and to let the polymerization start at lower temperatures. Adding plasticizers results in a decrease in Tg. Most favourable systems are selected out of a variety of various mixtures for imprint tests.
Article
Full-text available
In the emerging fields of nanoscience and nanotechnology, the demands for low-cost and high-throughput nanolithographic techniques have increased. Nanoimprint lithography is considered as one of the candidates showing high potential for nanofabrication, and here we report a fabrication process that utilizes high-quality nickel stamps with micron features down to sub-100 nm, made using proton beam writing coupled with nickel sulfamate electroplating. The fabricated stamps have a high aspect ratio, with smooth and vertical sidewalls. Nanoindentation and atomic force microscopy (AFM) measurements of the features on the surface of the stamps indicate a hardness of 5 GPa and a sidewall roughness of 7 nm. The stamps have been used for nanoimprint lithography on polymethylmethacrylate (PMMA) substrates and the imprinted patterns show a high degree of reproducibility.
Article
Nanoimprint lithography (NIL) is an emerging nanofabrication tool, able to replicate imprint patterns quickly and at high volumes. The present study was performed in order to define the capabilities of NIL, based on a study of published research and to identify the application areas where NIL has the greatest potential. The process attributes of different NIL process chains were analysed, and their process capabilities were compared to identify trends and process limitations. The attributes chosen include the line width, relief height, initial resist thickness, residual layer thickness, imprint area and line width tolerances. In each case well-defined limits can be identified, which are a direct result of the mechanisms involved in the NIL process. These quantitative results were compared with the assessments of individuals in academia and within the microfabrication industry. The results suggest NIL is most suited to producing photonic, microfluidic and patterned media applications, with photonic applications the closest to market. NIL needs to address overlay alignment issues for wider use, while an analysis is needed for each market, as to whether NIL adds value.
Chapter
The sections in this article are Introduction Nanotechnologies Overview of Nanotechnologies and Nanotools NIL Other Lithography Techniques Scanning Probes Applications Introduction Biomedical Applications based on Nanostructured Passive Surfaces Separation, Concentration and Enriching Structures Molecular Motors Transported in Nanometer Channels Topographical Structures, Cells and Guidance of Neurons Biomedical Applications utilizing Active Nanostructured Surfaces Protein Chips Protein Interactions Biomedical Applications using Nanowires Biomedical Applications using Nanoparticles Biomedical Applications using SPM Technology Imaging of Biomolecules using SPM Force Detection of Single Molecular Events Cantilever‐based Detection of Molecular Events Discussion and Outlook Acknowledgments
Article
Cell‐adhesive and cell‐repulsive coatings have been plasma‐deposited on poly(ethylene terephthalate) surfaces previously structured with nano‐metric conical features by means of colloidal lithography. Surface analysis revealed that both coatings are conformal on nano‐structured substrates, with their wettability depending on the substrate morphology. The effect of surface chemistry and surface topography on cell adhesion has been investigated and clarified. The adhesion of a human keratinocyte cell‐line was found to be strongly dependent on the surface topography for plasma‐deposited acrylic acid (cell‐adhesive), and on the surface chemistry for poly(ethylene oxide)‐like (cell‐repulsive) coatings. magnified image
Article
The basis of our investigation is a modified UV curable negative tone resist (mr-L 6000 XP), which allows for warm embossing at a temperature as low as 50 °C. UV curing serves to stabilise the imprinted pattern, either in a flood exposure or an exposure through a photomask. The latter may be used to combine embossing with a subsequent UV lithography step to define either two-level or three-level patterns. In the case of a patterned resist, the standard UV curing process is not applicable since it affects the edge steepness of the embossed structure. Distinctive phase shifting effects are observed, depending on the lateral periodicity of the embossed structures, resulting in trenches below steep edges when the effective exposure happens within a narrow wavelengthband. Especially for polychromatic illumination, the absorption of the photoresist has to be taken into account to circumvent these trenches. This is verified by simulation of the UV intensity distribution within the patterned resist.
Article
We report micro-machining of resists on silicon by proton beam writing (PBW) at Takasaki Ion Accelerators for Advanced Radiation Application (TIARA), JAEA Takasaki, Japan. We studied the proton beam irradiation effects on typical positive and negative resists such as PMMA and SU-8, respectively, for application of the PBW technique to micro-machining. These resist materials were subjected to the scanning of a focused beam of protons accelerated using the microbeam facility of TIARA. Diameter of the proton beam was focused to about 1 μm. The fluence was varied to examine the irradiation effects on these resists as a function of the beam current and irradiation time. After exposure to proton beam, samples were developed and evaluated by a scanning electron microscope. Attempts to fabricate nickel stamps were also made by electroplating on the structures formed by PBW for application to imprint lithography.
Article
The characteristics and benefits of two types of cross-linking prepolymers with low glass transition temperature (Tg) for nanoimprinting are reported. They are soluble in organic solvents and their solutions can be processed like those of common thermoplastics. The imprinted patterns receive high thermal and mechanical stability through cross-linking polymerization. The course of the polymerization was investigated to determine the appropriate conditions for the imprint process. In thermally cross-linked polymers mr-I 9000, the cross-linking occurs during imprinting. Process time and temperature depend on the polymerization rate. Volume shrinkage during the polymerization does not adversely affect imprinting. Photochemically cross-linked polymers mr-L 6000 make possible imprint temperatures below 100 °C and short imprint times. The Tg of the prepolymer determines the imprint temperature. The cross-linking reaction and structural stabilization is performed after imprinting. 50-nm trenches and sub-50-nm dots confirm the successful application of the polymers.
Article
Full-text available
Nanoimprint lithography (NIL) is a high throughput, high-resolution parallel patterning method in which a surface pattern of a stamp is replicated into a material by mechanical contact and three dimensional material displacement. This can be done by shaping a liquid followed by a curing process for hardening, by variation of the thermomechanical properties of a film by heating and cooling, or by any other kind of shaping process using the difference in hardness of a mold and a moldable material. The local thickness contrast of the resulting thin molded film can be used as a means to pattern an underlying substrate on wafer level by standard pattern transfer methods, but also directly in applications where a bulk modified functional layer is needed. Therefore it is mainly aimed toward fields in which electron beam and high-end photolithography are costly and do not provide sufficient resolution at reasonable throughput. The aim of this review is to play between two poles: the need to establish standard processes and tools for research and industry, and the issues that make NIL a scientific endeavor. It is not the author’s intention to duplicate the content of the reviews already published, but to look on the NIL process as a whole. The author will also address some issues, which are not covered by the other reviews, e.g., the origin of NIL and the misconceptions, which sometimes dominate the debate about problems of NIL, and guide the reader to issues, which are often forgotten or overlooked.
Chapter
Nanoimprint lithography (NIL) is an emerging high-resolution parallel patterning method, mainly aimed towards fields in which electron-beam and high-end photolithography are costly and do not provide sufficient resolution at reasonable throughput. In atop-down approach, asurface pattern of astamp is replicated into amaterial by mechanical contact and three-dimensional material displacement. This can be done by shaping aliquid followed by acuring process for hardening, by variation of the thermomechanical properties of afilm by heating and cooling, or by any other kind of shaping process using the difference in hardness of amold and amoldable material. The local thickness contrast of the resulting thin molded film can be used as ameans to pattern an underlying substrate at the wafer level by standard pattern transfer methods, but also directly in applications where abulk modified functional layer is needed. This makes NIL apromising technique for volume manufacture of nanostructured components. At present, structures with feature sizes down to 5 nm have been realized, and the resolution is limited by the ability to manufacture the stamp relief. For historical reasons, the term nanoimprint lithography refers to ahot embossing process (thermal NIL). In ultraviolet (UV)-NIL, a photopolymerizable resin is used together with aUV-transparent stamp. In both processes thin-film squeeze flow and capillary action play acentral role in understanding the NIL process. In this chapter we will give an overview of NIL, with emphasis on general principles and concepts rather than specific process issues and state-of-the-art tools and processes. Material aspects of stamps and resists are discussed. We discuss specific applications where imprint methods have significant advantages over other structuring methods. We conclude by discussing areas where further development in this field is required.
Article
In this paper we report fabrication of high-aspect-ratio micro-structure of Ni by electroplating, using a micro-machining technique of resists using proton beam writing (PBW) at Japan Atomic Energy Agency (JAEA). A micro-structure of 5μm thick PMMA was fabricated by exposure using PBW at 1.7MeV and by development. A Ni structure was then formed by electroplating on the micro-structure of PMMA. Vertical and smooth side walls observed by a scanning electron microscope (SEM) indicate that PBW can be a versatile tool for fabrication of resists and metal microstructure in combination with electroplating. The electroplated Ni structure can be used as a resolution standard, which enabled us to focus the proton beam down to 130nm.
Article
Nanoimprint lithography (NIL) is a novel technique that allows fabrication of submicron features into substrates using a modified embossing method into a polymer resist. In most cases, a stamp is produced by direct e-beam writing into a resist and then the pattern is etched into the substrate. Other stamp fabrication methods exist but, in general, they are expensive to produce. When performing NIL, damage may occur to the stamp unless the process steps are optimized. In this letter, we illustrate a simple and inexpensive method to produce a test stamp to use for NIL process optimization. This may have wide applications in both industrial and academic settings.
Article
Nickel stamps with micro and nano-scale relief features on their surfaces have been fabricated using proton beam writing coupled with nickel sulfamate electroplating. A focused beam of sub-micron 2.0 MeV protons was used to direct-write 3D patterns into spin coated PMMA resist, and a single step nickel sulfamate plating process has been used to produce metallic negatives from these patterns. The fabricated metallic stamps exhibit high aspect ratio surface patterns with smooth and vertical side-walls. Nano-indentation and atomic force microscopy (AFM) measurements of the features on the surface of the stamps indicate a hardness and side-wall roughness of 5 GPa and 7 nm respectively. Using nanoimprint lithography, the stamps fabricated using proton beam writing and electroplating have been successfully used to replicate patterns into PMMA.
Article
A status report of nanoimprint lithography is given in the context of alternative nanofabrication methods. Since the ultimate resolution of nanoimprint appears to be determined by the stamp, this is discussed in detail, particularly the recent developments on polymer stamps. The scope of the technique is illustrated with applications in passive optical structures and organic devices. Throughout the report, critical dimensions are discussed, as well as other challenges facing nanoimprint lithography.
Article
The use of organic compounds as active materials in electronic and optoelectronic devices opens the door to a large number of efficient and potentially low-cost methods for fabricating useful, and, in some cases, complicated structures that are inaccessible by conventional methods using conventional semiconductors. The techniques available for processing and patterning organic materials move far beyond the lithographic methods that govern inorganic devices. This paper discusses the use of a range of processes that are unique to organic materials, including embossing, imprint lithography and capillary molding and printing, using a range of different stamping approaches.
Article
Full-text available
Fluidic devices that employ nanoscale structures (<100 nm in one or two dimensions, slits or channels, respectively) are generating great interest due to the unique properties afforded by this size domain compared to their micro-scale counterparts. Examples of interesting nanoscale phenomena include the ability to preconcentrate ionic species at extremely high levels due to ion selective migration, unique molecular separation modalities, confined environments to allow biopolymer stretching and elongation and solid-phase bioreactions that are not constrained by mass transport artifacts. Indeed, many examples in the literature have demonstrated these unique opportunities, although predominately using glass, fused silica or silicon as the substrate material. Polymer microfluidics has established itself as an alternative to glass, fused silica, or silicon-based fluidic devices. The primary advantages arising from the use of polymers are the diverse fabrication protocols that can be used to produce the desired structures, the extensive array of physiochemical properties associated with different polymeric materials, and the simple and robust modification strategies that can be employed to alter the substrate's surface chemistry. However, while the strengths of polymer microfluidics is currently being realized, the evolution of polymer-based nanofluidics has only recently been reported. In this critical review, the opportunities afforded by polymer-based nanofluidics will be discussed using both elastomeric and thermoplastic materials. In particular, various fabrication modalities will be discussed along with the nanometre size domains that they can achieve for both elastomer and thermoplastic materials. Different polymer substrates that can be used for nanofluidics will be presented along with comparisons to inorganic nanodevices and the consequences of material differences on the fabrication and operation of nanofluidic devices (257 references).
Article
VTT Publications 758 The nanoimprinting is a potential method for submicron scale patterning for various applications, for example, electric, photonic and optical devices. The patterns are created by mechanical deformation of imprint resist using a patterned imprinting mold called also a stamp. The bottle-neck for imprint lithography is availability of the stamps with nanometer-scale features, which are typically fabricated by electron beam lithography. Therefore, patterning of a large stamp is time consuming and expensive. Nanoimprint lithography can offer a low cost and a high through-put method to replicate these imprinting molds. In this work, stamp replication process was developed and demonstrated for three different types of imprint molds. Replication relies on sequential patterning method called step and stamp nanoimprint lithography (SSIL). In this method a small master mold is used to pattern large areas sequentially. The fabricated stamps are hard stamps for thermal imprinting, bendable metal stamps for roll embossing and transparent stamps for UV-imprinting. Silicon is a material often used for fabrication of hard stamps for thermal imprinting. Fabrication process of silicon stamps was demonstrated using both the imprinted resist and lift-off process for pattern transfer into silicon. Bendable metal stamp for roll-to-roll application was fabricated using sequential imprinting to fabricate a polymer mold. The polymer mold was used for fabrication of a nickel copy in subsequent electroplating process. Thus fabricated metal stamp was used in a roll-to-roll imprinting process to transfer the patterns onto a CA film successfully. Polymer stamp for UV-imprinting was fabricated by patterning fluorinated polymer templates using sequential imprinting and a silicon stamp. The imprinted polymer stamp was used succesfully for UV-NIL. In the stamp fabrication process the features of the silicon stamp were replicated with good fidelity, retaining the original dimensions in all of three stamp types. The results shows, that the sequential imprinting is as a potential stamp replication method for various applications. The nanoimprinting is a potential method for submicron scale patterning for various applications, for example, electric, photonic and optical devices. The patterns are created by mechanical deformation of imprint resist using a pat-terned imprinting mold called also a stamp. The bottle-neck for imprint lithography is availability of the stamps with nanometer-scale features, which are typically fabricated by electron beam lithography. Therefore, patterning of a large stamp is time consuming and expensive. Nanoimprint lithography can offer a low cost and a high through-put method to replicate these imprinting molds. In this work, stamp replication process was developed and demonstrated for three different types of imprint molds. Replication relies on sequential patterning method called step and stamp nanoimprint lithography (SSIL). In this method a small master mold is used to pattern large areas sequentially. The fabricated stamps are hard stamps for thermal imprinting, bendable metal stamps for roll embossing and transparent stamps for UV-imprinting. Silicon is a material often used for fabrication of hard stamps for thermal imprinting. Fabrication process of silicon stamps was demonstrated using both the imprinted resist and lift-off process for pattern transfer into silicon. Bendable metal stamp for roll-to-roll application was fabricated using sequential imprinting to fabricate a polymer mold. The polymer mold was used for fabrication of a nickel copy in subsequent electroplating process. Thus fabricated metal stamp was used in a roll-to-roll imprinting process to transfer the patterns onto a CA film successfully. Polymer stamp for UV-imprinting was fabricated by patterning fluorinated polymer templates using sequential imprinting and a silicon stamp. The imprinted polymer stamp was used succesfully for UV-NIL. In the stamp fabrication process the features of the silicon stamp were replicated with good fidelity, retaining the original dimensions in all of three stamp types. The results shows, that the sequential imprinting is as a potential stamp replication method for various applications.
Article
In this work, we have developed low-cost, high modulus, flexible, and UV transparent polyimide plastic molds for nanoimprint lithography (NIL). Different structures of poly(amic acids) (PAA) and polyimides (PI) have been synthesized. By casting the PAA or PI solutions on a silicon master, flexible but still rigid plastic molds can be produced. The advantages of the PI molds are: (1) high glass-transition temperatures (Tg) up to 310 degrees C, (2) high thermal stability over 500 degrees C, (3) high tensile modulus, and (4) UV transparency for use in UV-NIL. Various micrometer and nanometer scale patterns could be obtained from the PI molds on a large area (4 inch wafer). The imprinting results showed that the PI molds could be faithfully used for both hot embossing NIL and UV-NIL.
Article
Two new optical analysis methods in the field of nanotechnology are introduced. Both methods are based on optical microscopy coupled with a digital image analysis system. The first method can be used as a low cost quality control process for nanoimprint lithography. Here, fluorescence microscopy is used in combination with a standard printable polymer labeled with a fluorescent dye. The optical detection allows for the observation of imprinted structures down to 100 nm of lateral size. The second method uses either dark field or fluorescence microscopy for analysis of the particle size distribution of dispersed nanoparticles with diameters between 100 and 1000 nm. Both methods are characterized by a high reliability and reproducibility as well as user-friendliness and quickness.
Article
This letter reports the demonstration of a photocurable polymer process for replicating the master mold for nanoimprint lithography. The cross-linked polymer mold was fabricated directly with high fidelity from a master by imprinting and photocuring a low viscosity liquid prepolymer film spun onto a substrate. The surface of the cross-linked polymer mold can be treated using an O(2) plasma, and then vapor primed with a low surface energy mold release layer for repeatable imprinting. The imprinting results demonstrated that the cross-linked polymer mold could be faithfully used for both thermal and photocurable nanoimprint lithography.
Article
Polymer-based magnetic microactuators have been fabricated with hot embossing technique and layer-by-layer (LbL) nano self-assembly. Silicon molds are fabricated with conventional UV lithography and wet etching techniques. Hot embossing is used to transfer the patterns from silicon molds to polymethylmethacrylate (PMMA) sheets. The overall processing time for the pattern transfer is less than 20 min. Low-cost devices with massive and rapid replication can be fabricated. Six layers of magnetic iron oxide (Fe2O3) nanoparticles are LbL self-assembled on the PMMA surface as the magnetically sensitive material. Positive photoresist PR1813 is used as the sacrificial layer to protect the gold electrode on the back side of the membrane. LbL nano self-assembly technique provides a simple method to obtain the magnetic film with low cost, short processing time, simple fabrication steps at room temperature. The volume of the magnetic material can be precisely controlled by the number of nano-assembled iron oxide layers. The mechanical, electrical, and magnetic properties of the microactuator are characterized by a laser interferometer. The natural frequency of the actuator is approximately 151 Hz; and the maximum deflection amplitude is about 34 nm. At all frequencies, the increase of the magnetic field increases the deflection amplitude which is in agreement with the theoretical equation.
Article
Full-text available
Nano-compact disks (Nano-CDs) with 400 Gbit/in2 topographical bit density (nearly three orders of magnitude higher than commercial CDs) have been fabricated using nanoimprint lithography. The reading and wearing of such Nano-CDs have been studied using scanning proximal probe methods. Using a tapping mode, a Nano-CD was read 1000 times without any detectable degradation of the disk or the silicon probe tip. In accelerated wear tests with a contact mode, the damage threshold was found to be 19 μN. This indicates that in a tapping mode, both the Nano-CD and silicon probe tip should have a lifetime that is at least four orders of magnitude longer than that at the damage threshold.
Conference Paper
Nanoimprint lithography over 2 inch wafers with a patterned area of 40 000 mu m(2) consisting of interdigitated lines of 100 nm width with varying distance between the lines has been performed. By performing metal lift-off and subsequent UV-lithography for definition of contact regions and pads, complete metal arrays have been fabricated. The structure is electrically characterized by admittance spectroscopy. In this paper we describe the design and realization of a compact nanoimprint lithography system. Furthermore, various aspects of nanoimprint lithography are discussed, and nanoimprint lithography is compared with other nanostructuring technologies.
Article
The complete characterization of a branched polymer involves many factors, the most difficult to assess being the average number of elementary linear portions, or branches, of which the polymer is composed, the degree of polymerization of the branches and the extent of cyclic structure formation. A method of analysis of branched polymers is proposed which should be applicable to polymers of any polyfunctional monomer the unsaturated groups of which are separated by saponifiable links. The method is based on the measurement of degree of polymerization and residual unsaturation of the polymer followed by saponification and determination of degree of polymerization of the resulting polymer, and involves the assumptions that branching in the polymer occurs only by the sharing of a monomer unit by two or more linear portions or branches depending on the number of double bonds in the monomer and also that the average degree of polymerization of the branches remains unchanged by the saponification treatment. Evidence from experiments on the saponification and re-esterification of poly(allyl acetate) and poly(allyl benzoate) indicates, that, in the case of diallyl esters, the above conditions are satisfied. The technique was therefore applied to three polymers of diallyl phthalate, and in each case the average number of chains and degree of polymerization of the chains were estimated. Evidence of considerable extents of cyclic structure formation was found, each individual branch in the polymers consisting of approximately fourteen diallyl phthalate units, six of which are doubly reacted. It is suggested that the existence of extensive formation of cyclic structures may be partly responsible for the poor correlation of predicted with actual degrees of conversion at gelation in polymerizations involving multifunctional monomers.
  • P R Krauss
  • S Y Chou
P.R. Krauss, S.Y. Chou, Appl. Phys. Lett. 71 (1997) 3174-3176.
  • W Simpson
  • T Holt
  • R J Zetie
W. Simpson, T. Holt, R.J. Zetie, J. Polymer Sci. 10 (1953) 489-498.